ystycsのブックマーク (209)

  • FrontPage - Linuxカーネルメモ

    Linux Kernel(2.6)の実装に関するメモ書き 書き殴っているので書き方/内容にムラあり。 3.6系に関する記述は【3.6】と記載。 カーネル プロセス プロセスのBlockとWakeup プロセスの優先度 コンテキストスイッチ プリエンプション スケジューラ RunQueue WaitQueue WorkQueue 例外処理 割り込み処理 ページフォルト ページフォルト - 個々のハンドラ ソフト割り込み システムコール 排他制御関連 モジュール 64bit環境での32bitプロセスの動作 メモリ管理 アドレス空間 アドレス空間の管理 カーネル空間のメモリマップ 64bitでのアドレス空間 HighMemory kmalloc,vmalloc 物理ページ管理 スラブアロケータ Rmap proc/meminfo 空きページの確保 kswapd Swap Swap - Swap領

  • 帰ってきたCon Kolivas、大論争を呼ぶの巻(3/3) - @IT

    9月版 帰ってきたCon Kolivas、大論争を呼ぶの巻 小崎資広 2009/10/14 邦初? あまり知られていないCFS概略 さて、ここでMikeの改善の解説に入る前に少し話を脱線して、CFSの概略について説明しましょう。CFSはドキュメントが非常に少なく(注5)、いきなり内部パラメータの解説をしても全然楽しくない記事になってしまうので、若干数式が多くなりますが、ご勘弁ください。

  • かWiki - Git/Commands

    Git は非常に多くのコマンド(version 1.4.2.1 では 124 個かな) からなります。 git(7) では コマンドを分類しつつ説明しています。 コマンドは Low-level commands (plumbing) High-level commands (porcelain) に分かれています。 plumbing (直訳すると配管ですが)に分類されているコマンドは、Git の基礎的 な部分に関するコマンドです。低レベルな操作を提供するコマンド群ですので、 版管理システムとして Git を使用する場合に、自ら使うことは少ないでしょう。 Core git とも呼ばれます。 porcelain (直訳すると磁気製品ですが)に分類されているコマンドは、plumbing に分類されるコマンドを内部で使用して、版管理システムを実現します。 利用者が使うのは主にこちらに分類されるコ

  • Pito!の詳細情報 : Vector ソフトを探す!

    ソフト詳細説明 ■ Pito! について Pito!はWindowsで動作するタスクトレイ常駐型のウィンドウ移動リサイズ補助ツールですウィンドウの移動・サイズ変更中にデスクトップ・アプリケーションの端に近づけると自動で端にあわせます ■ 操作方法 ★基★(Pito!を実行するだけです) ウィンドウの移動・サイズ変更をおこなうと近くに「端」がある場合自動調整します ★応用★(キー操作等を混ぜることで色々なことが出来ます) ・Ctrlを押しながら操作すると 通常通りの動作します ・ALTを押しながら操作すると タイトルバー以外でもウィンドウを移動できます ・Shiftを押しながら操作すると 操作ウィンドウの端に合わせられているウィンドウも同時に処理します ・ウィンドウの端(リサイズカーソルになった状態)で右クリックすると、ウィンドウのサイズ・位置を数値指定で変更するためのダイアログが開きます

  • http://blog-imgs-37-origin.fc2.com/j/k/j/jkjcjk/468_20100328114753.jpg

    ystycs
    ystycs 2010/05/10
  • 競馬に興味のない人でもOK、JRA東京競馬場の馬場内は200円でかなり楽しめる

    JRA東京競馬場の入場料は200円、15歳未満は無料となっており、競馬場のトラックの内側、いわゆる「馬場内」は割とというかかなり充実しており、競馬に関心のない人でも行く価値があるぐらいよくできていました。 今回は天気が良かったこともあり、馬場内と言うよりもファミリー層向けのエンタメコーナー、あるいはまったりピクニックコーナー、もしくはだらだらとフードコートでっちゃ寝モード突入といった感じになっており、時々周りを馬が全力で走るきれいな公園風に仕上がっていたのが印象的でした。 というわけで、実際にどのような感じなのかというフォトレポートは以下から。 JRAホームページ | 東京競馬場 http://www.jra.go.jp/facilities/race/tokyo/index.html そもそも競馬場に実際に行ったことがなかったので、脳内にある競馬場のイメージはこんな感じでした。というか

    競馬に興味のない人でもOK、JRA東京競馬場の馬場内は200円でかなり楽しめる
  • http://www.openscript.org/wiki/index.php?DHCP

    ystycs
    ystycs 2010/05/10
  • エラー/

    ystycs
    ystycs 2010/05/10
  • 筋ストレッチ

    皆さんは「知らず知らずのうちに、どうもくいしばっているみたいだ」とか、「肩こりや頭痛が激しくて…」といったことはありませんか? くいしばりは体や心のストレスと関わっています。例えば、何かいやなことがあったとき、怒られているとき、くやしいとき、イライラしているときに無意識にくいしばっています。それが長く続いたり、くいしばりの力が強すぎたりすると、歯に影響するだけではなく肩や頭の痛みまで生じることがあります。くいしばりは噛む筋肉が緊張している状態で、それらは肩や頭にもつながって一緒に緊張するからです。 今回ご紹介するストレッチは、当院でお勧めする首や頭の筋肉のリラクゼーショントレーニングです。筋肉が伸びた状態をゆっくり味わっていただく、「気持ちい~!ストレッチのおすすめ」です。 筋のリラックスを覚えると逆に筋の緊張に気づくことができ、無意識にしていた噛む筋肉の緊張に気づけばくいしばりをや

  • パケットキャプチャの実装方法 - Plan9日記

    ネットワークアプリケーションの解析やデバッグなどにパケットキャプチャ(もしくはスニファ)は必須の機能で、UNIXであればtcpdump、snoop、wireshark*1、Plan 9であればsnoopyなどが存在する。パケットを横から盗み見するために、OSごとにいろんなアプローチを取っている。ある機能をどのように実装するかで、そのOSの設計哲学が透けて見えてくるかもしれない。ということで、今日はパケットキャプチャの実装方法について調べてみたい。 libpcap tcpdumpのパケットキャプチャ処理はlibpcapとして独立したライブラリになっていて、OS依存部分を隠蔽している。例えば、BSD系UNIXはBPF(Berkeley Packet Filter)、LinuxはPF_PACKETを利用してパケットキャプチャを実現している。より正確にはBPFはキャプチャとフィルタリングするのに対

    パケットキャプチャの実装方法 - Plan9日記
  • IBM Developer

    IBM Developer is your one-stop location for getting hands-on training and learning in-demand skills on relevant technologies such as generative AI, data science, AI, and open source.

    IBM Developer
  • 送受信 - Linuxカーネルメモ

    1. 受信処理 1.1 従来の受信処理 ネットワークインタフェースで受信したパケットは、デバイスドライバのH/W割り込み処理処理で刈り取られる。デバイスドライバは受信したパケットをカーネルの受信キューに積み、ソフトウェア割り込みを発生させる。 受信ソフトウェア割り込みのハンドラは、受信キューに積まれているパケットを取りだし該当プロトコルの受信ハンドラを呼び出す。 デバイスドライバの受信処理が受信キューにパケットを積むだけで、受信処理のメインはソフトウェア割り込み処理で実装しているのは、H/W割り込みの処理を極力短くしてシステムのレスポンスを向上させるため。 受信処理の流れを図1に示す。 1. 従来の受信処理ではデバイスドライバがネットワーク層にパケットを渡す際、netif_rx()を使用する。 2. ソフトウェア割込みを発生させる際、poll_listには仮想的なnet_device(ba

  • proc/meminfo - Linuxカーネルメモ

    MemTotal: 1001008 kB MemFree: 200708 kB Buffers: 43400 kB Cached: 395740 kB SwapCached: 0 kB Active: 557556 kB Inactive: 178624 kB HighTotal: 97216 kB HighFree: 140 kB LowTotal: 903792 kB LowFree: 200568 kB SwapTotal: 2096472 kB SwapFree: 2096472 kB Dirty: 224 kB Writeback: 0 kB Mapped: 356492 kB Slab: 47820 kB CommitLimit: 2596976 kB Committed_AS: 689048 kB PageTables: 6192 kB VmallocTotal: 11468

  • 彼らは有名人と出くわすなり、サインをね... in 英語 - On Encountering The Celebrity, They A... - Smart.fm

    新規アイテム 新規アイテムの学習を開始! 次の学習:1日後 学習毎に記憶強度が上がり「定着中」ゾーン へ。このゾーンの往復が脳科学のポイント! 復習! 次の学習:3日後 アイテムを忘れそうになると再び要復習ゾー ンへ。自動的に、要復習の指示が届きます 復習! 次の学習:3ヶ月後 ゾーンの往復毎に記憶強度が上がり、完璧に 覚えた段階でアイテムは「完了」ゾーンへ。

    ystycs
    ystycs 2010/05/06
  • raw socketを使ったメモ - bureemenの日記

    etherframeヘッダをつけずに送受信することもできる。受信するためには受信側のether I/Fをpromiscモードにすること。(送信側はpromiscにする必要無し) ただし、CRC(FCS)(= 4 byte)以下のデータは、電気的なノイズ等と区別がつかないため、受信されない(tsharkで確認できない)。一方、送信はされるため、送信側のether I/Fでtcpdumpすると、確認できる。 なお、小さなデータを送信したときの挙動はドライバに依ると考えられる。あるPCを使って小さなデータを送信したところ、一部が壊れたMACヘッダが自動的に補完されたデータが、対向で受信された。この挙動はそのPCでのみ確認しており、バグの可能性もある。 raw readでは、1つのフレームを分割してreadすることは*できない*。readサイズが小さい場合、readされなかったデータは無視される。

    raw socketを使ったメモ - bureemenの日記
    ystycs
    ystycs 2010/05/05
  • http://buffalo.nas-central.org/w/images/8/8f/Power-architecture-logo.png

  • Integrating GPIB, Ethernet/LXI, USB, PXI Express, VXI, and Other Standards into a Hybrid Test System

    With emerging instrument control standards based on communications buses such as USB and LAN including LXI, developers face the question of how to integrate these instruments into their systems. Stand-alone instrumentation has continued to evolve by taking advantage of newer buses and standards such as USB and LXI, giving users a variety of instrumentation connectivity, each with its own strengths

    Integrating GPIB, Ethernet/LXI, USB, PXI Express, VXI, and Other Standards into a Hybrid Test System
    ystycs
    ystycs 2010/04/28
  • Graphical vi-vim Cheat Sheet and Tutorial

    Graphical vi-vim Cheat Sheet and Tutorial Learning vi or vim is not easy. But it doesn't have to be that difficult, either. It is, in any case, faster, more powerful, and more productive than editing with any other editor, so you would do very well in investing the time and effort to learn it. Being a vi lover myself, I came up with the idea of providing a graphical cheat sheet for those learning

    ystycs
    ystycs 2010/04/27
  • COBSトレンドサプリ: あなたのお仕事メールは大丈夫? 『取り急ぎ○○まで』の正しい使い方 [COBS ONLINE/コブス オンライン]

    「取り急ぎ連絡まで」とメールを締めくくった後で、この言葉は上司やお客さまに使ってもよい言葉なのだろうか? と疑問に感じたことはないだろうか。 よく聞く言葉だからだれに使っても大丈夫だろうと安易に判断すると、知らずしらずの間に相手に不快な思いをさせてしまう言葉もある。「取り急ぎ」はどんなシチュエーションで誰に対して使ってもよい言葉なのだろうか。 目上の人には使わないのが無難 ビジネスメール・文書に関する数多くの著書もある平野友朗氏(アイ・コミュニケーション代表)に伺った。 「よく聞く言葉だからでしょうか、お客さまや上司、先輩に送付するメールにも『取り急ぎ連絡まで』などと書いているビジネスパーソンは少なくありません。私は、『取り急ぎ』という言葉は、失礼と感じる人もいれば、便利な言葉と感じる人もいるなど、人によってとらえ方が異なる言葉だと思っています。ですから、親しい上司や先輩以外には使わないの

    ystycs
    ystycs 2010/04/25
  • 無料であらゆるムービーファイルを一発でまとめてH.264形式に変換できる「DivX Plus Converter」の使い方 - GIGAZINE

    日21時から、DivXの新バージョン「DivX Plus」が発表されたわけですが、その中でもかなりの目玉がこの「DivX Plus Converter」。今までは有料だったのですが一部機能が無料化され、H.264+AAC/AC3のPLUS HDプロファイルについてはなんとずっと無料で利用できることになりました。また、今まで認識できなかったファイル形式も解析して変換が可能になっており、かなり強力な変換ソフトに生まれ変わっています。 また、「速くてなめらかな早送りと巻き戻しビデオトラックを作成」という機能が非常に秀逸で、「なぜこの機能が今まで無かったんだ!」というレベルです。実際にムービー撮影してみたので、百聞は一見にしかず、見ればすごさがわかります。 というわけで、ダウンロードと使い方は以下から。 DivX.com http://www.divx.com/ja/ 上記ページからインストーラ

    無料であらゆるムービーファイルを一発でまとめてH.264形式に変換できる「DivX Plus Converter」の使い方 - GIGAZINE