タグ

関連タグで絞り込む (0)

  • 関連タグはありません

タグの絞り込みを解除

VHDLに関するm2studioのブックマーク (1)

  • VHDLいろいろ: 如是我聞~これからFPGAの話をしよう~

    今更感あるけども、VHDLで特定用途で便利な記述ネタを。 ●コンポーネント宣言を省略する use work.all; を追加すると読み込んだエンティティのコンポーネント宣言を省略できる。VHDLの悪名の半分はこれで解消。 注意点はModelSimではコンパイル順で認識するので、ソースファイルの順番に依存してしまう。Quartusでは全てのファイルを読み込んでから評価するみたいなので同じプロジェクト内のソースであればどこでも参照できる。 ●LPMやMegafunctionを直接インスタンスする library altera_mf; use altera_mf.altera_mf_components.all; library lpm; use lpm.lpm_components.all; を追加しておくと、コンポーネント宣言を省略してLPMやMegafunctionのマクロをインスタンスで

    VHDLいろいろ: 如是我聞~これからFPGAの話をしよう~
  • 1