タグ

関連タグで絞り込む (2)

タグの絞り込みを解除

キーボードに関するmeg_nakagamiのブックマーク (2)

  • PS/2 インターフェイスの研究

    PS/2インターフェイス概要 PS/2インターフェイスの信号はDAT,CLK,Vcc,GNDの4つで、DATはシリアル化されたデータ、CLKはデータが有効であることを示す信号です。 DAT,CLKは双方向になっていて、キー入力された文字を送るだけでなく、LEDを点灯させるなどのコマンドを受信します。 キーボード側パソコン側ともに4.7kΩでプルアップされたオープンコレクタ出力で出力が衝突しても破壊されないように構成されています。 インターフェイス信号 スタートビット、データ8ビット、ODDパリティ、ストップの合計11ビットの、一般的な調歩同期。 送信速度は1ビットあたり60~100μS スタートビットD0D1D2D3D4D5D6D7ODDパリティストップビット

  • Engadget | Technology News & Reviews

    The Biden Administration opens $285 million funding for ‘digital twin’ chip research institute

    Engadget | Technology News & Reviews
    meg_nakagami
    meg_nakagami 2007/05/25
    実際触る機会がもしあって、キータッチが悪くなかったら買う
  • 1