2015年11月4日のブックマーク (5件)

  • 【画像】 「キャンセルなら万円払え」 売春相手の男性を恐喝した疑い、無職の女(30)を逮捕 : 痛いニュース(ノ∀`)

    【画像】 「キャンセルなら万円払え」 売春相手の男性を恐喝した疑い、無職の女(30)を逮捕 1 名前:かばほ〜るφ ★ :2015/11/04(水) 13:17:21.35 ID:???*.net 「売春キャンセルなら5万円」=恐喝容疑で女逮捕−警視庁 東京・歌舞伎町のレンタルルームで、売春相手の男性に高額の料金を提示した上、 キャンセル料として現金5万円を脅し取ったとして、警視庁保安課などは4日までに、恐喝容疑で東京都中野区東中野、無職下谷内雪江容疑者(30)を逮捕した。「恐喝はしていない」と容疑を否認しているという。 逮捕容疑は9月27日午前2時ごろ、新宿区歌舞伎町のレンタルルームで、会社員男性(24)に「基コースは6万5000円、VIPコースは10万円、キャンセルするなら5万円を支払って」と要求。キャンセルを申し出た男性の携帯を奪った上、近くのATMで現金を下ろさせて5万円を脅し取

    【画像】 「キャンセルなら万円払え」 売春相手の男性を恐喝した疑い、無職の女(30)を逮捕 : 痛いニュース(ノ∀`)
    natsutan
    natsutan 2015/11/04
    あかん
  • 半導体製造装置のマーケットは厳しい展開に入ってきた|ボロボロになった取材ノートは語る by 電子デバイス産業新聞(旧半導体産業新聞)

    半導体製造装置のマーケットは厳しい展開に入ってきた ~設備投資は高水準を維持するも、微細化の将来性が見えない~ 2015/10/30 ITの世界においても、自動車の世界においても、新技術は常に新市場を創出してきた。半導体という36兆円の巨大マーケットも、いわゆるムーアの法則を1つの不文律にしてデバイス、装置、材料の各分野でエンジニアがしのぎを削ってきた。半導体においては微細化と大口径化の技術進化が爆発的なスピードで進み、今日においてはスマートフォンやタブレットなどを誕生させ、その繁栄を支えてきたのだ。 ところがここに来て、大きな問題が半導体装置業界において起きている。すなわち、微細化の限界が見えてきたのである。2015年の半導体設備投資は前年に比べて少しトーンダウン気味であるが、今のところは600億ドル水準を維持すると見られている。しかして、来年以降の展望が非常に見えない。それは、何といっ

    半導体製造装置のマーケットは厳しい展開に入ってきた|ボロボロになった取材ノートは語る by 電子デバイス産業新聞(旧半導体産業新聞)
    natsutan
    natsutan 2015/11/04
    まじかよ“ニューロチップはFPGAの塊となっており、このエンジニアは今や取り合いとなっている”
  • Island Life - 勝手にお題---テキストフィルタ

    About 南の島のプログラマ。 たまに役者。 Practical Schemeの主。 WiLiKi:Shiro 最近のエントリ 無限cxr高校受験Defense振り返ってみると2019年は色々学んで楽...覚えるより忘れる方が難しい(こともある)眼鏡のつると3DプリンタIris Klein Acting ClassSAG-AFTRA conservatory: Voice Acting創作活動って自分を晒け出さねばならないと...ループを使わずに1から100までMore... 最近のコメント shiro on 歳を取ると時間が速く過ぎるのは、新しいことに挑戦しないから? (2023/03/14)1357 on 歳を取ると時間が速く過ぎるのは、新しいことに挑戦しないから? (2023/03/01)ベアトリーチェ on ハイポハイポハイポのシューリンガン (2022/04/02)ベアトリーチ

    Island Life - 勝手にお題---テキストフィルタ
    natsutan
    natsutan 2015/11/04
  • Verilog - $readmemhと$readmemb

    //テストベンチ module testbench; reg CLK; reg RST; wire [11:0] ADDR; wire [31:0] XDATA; DUMMY_ROM DUMMY_ROM( .CLK(CLK), .RST(RST), .ADDR(ADDR), .XDATA(XDATA) ); DUMMY_ADDR DUMMY_ADDR( .CLK(CLK), .RST(RST), .XADDR(ADDR) ); parameter STEP = 10; always #(STEP / 2) CLK = ~CLK; initial begin $dumpfile("wave.vcd"); $dumpvars(0, testbench); $monitor ("%t: CLK = %b, RST = %b , ADDR = %h, XDATA = %h", $time, CLK

    natsutan
    natsutan 2015/11/04
  • Top (Gauche Users’ Reference)

    natsutan
    natsutan 2015/11/04
    ふむふむ。include使えるのか。