タグ

2013年12月25日のブックマーク (4件)

  • 間違いだらけのSQL識別子エスケープ

    これから3回連載の予定で、SQL識別子のエスケープの問題について記事を書きます。SQL識別子のエスケープについてはあまり解説記事などがなく、エンジニア間で十分な合意がないような気がしますので、これらの記事が議論のきっかけになれば幸いです。 3回の予定は以下のとおりです。 間違いだらけのSQL識別子エスケープ(稿) SQL識別子エスケープのバグの事例 SQL識別子は結局どうすればよいか ということで、まずはSQL識別子のエスケープの失敗例について説明します。この失敗例はあくまで説明のために作ったもので、実際のものではありません。また、想定が「ありえない」と思われるかもしれませんが、意図的なものですのでご容赦いただければと思います。また、「間違いだらけの」というタイトルは、今回の題材が間違いだらけという意味であり、巷のSQL呼び出しがそうであるという意味ではありません。稿に登場する人物と団

    remix-cafe
    remix-cafe 2013/12/25
    社長!とうとう我が社にも標的型攻撃がきましたよ。どうしますか?!ほほぉー、我が社も狙われる会社になったか(ホクホク)
  • 家カレー:減る…洗い物面倒、調理手間 時間短縮タイプも - 毎日新聞

    remix-cafe
    remix-cafe 2013/12/25
    堺市堺区の田中篤子さん(67)、福岡市中央区の米田賀代子さん(64)に東京都の女性会社員(40)というアバター。とりあえず、関東、関西に九州がいればええのね。東京の人はプライバシーに敏感、と。
  • 説得とヤル気の科学

    人間はいつも人に何かしてほしいと思いながら過ごしています。商品を買ってほしい、大量に発注してほしい、もっと働いてほしい……これは仕事に限らず家庭生活においても同じです。もっと勉強をしてほしい、自発的に行動してほしい、家事を手伝ってほしい……書では心理学の最新の研究結果を示しながら、人を説得しヤル気を引き出すメカニズムを科学的に解き明かします。書で紹介するストラテジーを実践すれば、こちらの希望に気持ちよく応じてもらうことができるようになります。『インタフェースデザインの心理学』の著者最新刊。 翻訳者によるサポートページ。 サンプルPDF ● 「前付〜1章と8章」(4MB) 目次 著者について 謝辞 意見と質問 第1章 人をヤル気にさせる要因 7種類の要因 人心操作? 第2章 帰属意識 絆があれば熱意が増す 動詞よりも名詞を 他者の意見がもつ影響力 頼み事は「適役」に任せる 返報性の原理

    説得とヤル気の科学
    remix-cafe
    remix-cafe 2013/12/25
    いつも適当なやる気しかない自分にとってのメシアになるかしら。なったらいいな(他人任せ)
  • 経済学を知ったかぶりするための独学方法

    すらたろう氏が独習者のためのおすすめ経済学入門テキストを紹介しているのを見て、ask.fmを始めたところ、経済学研究科に行かないで経済学を学ぶ方法を質問されたのを思い出した。 用途が分からないのだが、SNSで聞かれたのでSNSで使うための知識なのであろう。主に文系学問を学んできた人が、インターネットの交流サイトで経済学を知ったかぶりするための独学方法を考えてみたい。 1. 基礎的な数学を学ぶ 経済学は言葉として数学を利用しているため、ある程度の数学の知識が必要だ。記号の意味ぐらい分からないと、読み飛ばしもできない。しかし経済学の教科書の数学の説明は極端に省略されているので、やさしめの数学書を読んだ方が理解が深まる。線形代数、集合、位相、解析のイロハを学ぼう。 一般教養数学を履修していなかった人は、『微分・積分30講』と『線形代数30講』を読んでおく方が良いと思う。だらだら読んでいても一ヶ

    経済学を知ったかぶりするための独学方法
    remix-cafe
    remix-cafe 2013/12/25
    どこかで時間を作って見よう。た、多分。