タグ

2017年1月31日のブックマーク (5件)

  • 出張 - daichanの小部屋

    今日はこれから王将戦第3局@大田原。 ホテル花月さんには昨年10年連続開催の感謝状をお渡ししましたので、今年で11年目の開催。 今後も続いてほしいと願います。 明日の朝は対局開始を見届けたらトンボ帰りで、そのまま千駄ヶ谷へ直行で常務会。 正直なところ、最近ちょっと体力的にきついですし、精神的にも大変です。 ただ自分としては4年前の立候補以来、理念はまったく変わっていないので、これからも将棋界の未来と、後輩たちのために、頑張るつもりです。 一連の問題については、公式見解が少ないという声を多く耳にしており、長年の将棋ファンの方々に対しては特に、心苦しく思います。 ただ公式の発信というのはそう簡単なことではないですし、もちろん最大限努力していることも、理解していただければと願います。 一般論としても、一つひとつのネット上の疑問や、報道に対して、随時反応することは難しいです。 来発信すべきことが

    出張 - daichanの小部屋
    the48
    the48 2017/01/31
    そういや現役理事に法的知識が皆無とか言う批判があったが間違ってなかったということか。
  • 同級生を暴行、動画で拡散 教委「いじめとみていない」 (沖縄タイムス) - Yahoo!ニュース

    沖縄島中部の中学校に通う男子生徒が、同級生の男子生徒から暴行を受けている様子をスマートフォンで撮影した動画がインターネット上に流れていることが30日、分かった。学校側によると、暴行があったのは20日で、場所は校外。加害生徒は7人で、うち1人が暴行を加え、2人が動画を撮影。4人は周囲にいたという。 動画は長さ約2分。加害生徒が一方的に抵抗しない男子生徒を殴ったり蹴ったりしている様子が分かる。周囲からは笑い声なども聞こえる。学校側によると、男子生徒の家族は「大きなけがはない」と話しているという。 中学校がある自治体の教委は事実関係を調べ、いじめとはみていない。学校側は、加害者とその保護者に指導を行ったと説明している。 動画のネット上拡散を懸念した学校側は30日、PTA役員と協議し、保護者会の開催や生徒への説明などを行うことを確認した。学校側は「情報の扱い方についても今後、具体的な指導を

    同級生を暴行、動画で拡散 教委「いじめとみていない」 (沖縄タイムス) - Yahoo!ニュース
    the48
    the48 2017/01/31
    事件性がないとしたら偽装いじめか。
  • 「NAVERまとめ」と著作権 LINEに法的責任を問えるか? 弁護士が考察する

    LINEが運営する「NAVERまとめ」に対して多くの批判が寄せられているわけですが、そもそもNAVERまとめの何が問題なのか、そしてNAVERまとめの運営主体であるLINE株式会社への法的責任追及は可能なのかを検討します。 NAVERまとめは著作権侵害の温床となっていた NAVERまとめはネット上の情報をまとめて投稿できるサービスで、誰でも無料で簡単にまとめ記事を作成できること、話題の情報をまとめて知りたい閲覧者の欲求に応えられることから人気のサービスとなっています。 まとめ記事を書いた人は、閲覧された数などに応じて報酬がもらえる仕組み。ただまとめ記事作成の過程で他人の文章や画像を容易に使用できてしまうため、NAVERまとめは著作権侵害の温床となっていました。 実際にNAVERまとめ記事を作成してみる では実際にNAVERまとめ記事を作成してみます。画像やテキストをアップロードして記事を作

    「NAVERまとめ」と著作権 LINEに法的責任を問えるか? 弁護士が考察する
    the48
    the48 2017/01/31
    これ上場企業なんだぜ
  • 機械学習/Deep Learningの仕事が増える2017年、ソフトウェアエンジニアがFPGAを学ぶべき理由

    ソフトウェアエンジニアFPGA(field-programmable gate array)を使うハードルがさらに下がってきている。クラウドサービスでFPGAを活用できたり、Pythonで記述したニューラルネットワークをFPGAに高位合成できる研究成果が出てきたりしているのだ。 ソフトウェア開発者の立場でFPGAに取り組むイベント「FPGAエクストリーム・コンピューティング」を主宰する佐藤一憲氏、FPGAの高位合成によるディープラーニングについて研究している東京工業大学の中原啓貴氏(中原研究室)、そしてFPGAベンダーであるザイリンクスの神保直弘氏が、急激に常識が変わりつつあるFPGAの動向を語り合った。 稿では座談会の中から、ソフトウェアエンジニアFPGAや高位合成が求められる現状、そして、今後どのようなツールを使うべきか、ソフトウェアエンジニアFPGAに取り組む際の課題などにつ

    機械学習/Deep Learningの仕事が増える2017年、ソフトウェアエンジニアがFPGAを学ぶべき理由
    the48
    the48 2017/01/31
    これは面白そう
  • 蓮舫氏、国会中にツイッター更新 : 痛いニュース(ノ∀`)

    蓮舫氏、国会中にツイッター更新 1 名前: ジャンピングカラテキック(愛媛県)@\(^o^)/ [RO]:2017/01/30(月) 16:45:18.09 ID:MCfp+u/t0.net 蓮舫・れんほう@renho_sha 14:05 - 2017年1月30日 福山議員の時に取り上げた共謀罪について、金田法務大臣が答えられず委員会は何度も中断し、審議が途切れ途切れになった。今、質問をしている大塚議員は政府提出の補正予算案、その減額予算内容について質問しているのに担当の松大臣が全く答えられず、質疑そのものが全く進まない。 https://twitter.com/renho_sha/status/825932900033974273 民進党@MinshintoNews 30日(月)参院予算委員会での2016年度第3次補正予算の質疑をNHK総合で中継します。蓮舫代表09:00〜、福山議員1

    蓮舫氏、国会中にツイッター更新 : 痛いニュース(ノ∀`)
    the48
    the48 2017/01/31
    議事を速記で取るほどに電子機器持ち込みには厳しいはずだったけど今は良いのね