vhdlに関するpecitropenのブックマーク (2)

  • EDA Playground

    x Warning! This exercise has been opened in another tab; autosave has been disabled. Close this tab or refresh to reactivate.

    EDA Playground
  • FPGAロジック開発におけるVHDL-2008情報源 - Qiita

    概要 FPGAロジック開発者向けのVHDL-2008の新機能についての情報源まとめ。 あくまで自分が調査した情報源であって、VHDL-2008の新機能の紹介記事ではないことに注意されたし。 そういう記事はこれから書いていきます。 とにかく無駄と思われるようなタイプ量の多さでイライラさせられるVHDLだけど、コンパイル時にガチガチにチェックしてくれるのはやっぱりありがたいと思う。 合成に1時間かけたFPGAが動かない原因が、レジスタの幅の不一致だとか、1文字のtypoによるdefault nettypeだったなんて、悲しすぎるよ。 言語仕様についてはLRMを参照せよという正論は、言語の利用者には厳しいと思う。俺にはムリ。 そもそもVHDLって VHDL - Wikipedia って、情報少ないね。 Verilog - Wikipedia との情報の差がそのまま人気の差を表してる。 VHDL:

    FPGAロジック開発におけるVHDL-2008情報源 - Qiita
  • 1