タグ

2011年9月28日のブックマーク (13件)

  • 配列 - 2部 Perl言語仕様 - [SMART]

    1.リスト 複数のスカラ変数を並べた構造を『リスト』といいます。リストはスカラと同じように数値、文字列を区別なく扱います。リストの書き方はとても簡単で、値をカンマで区切り、その全体をカッコで囲むだけです。1、2、3の 3 つの値のリストは、以下のように表します。 (1, 2, 3) リストの代入 リストの要素に代入する場合は、下記のような方法があります。 ($a, $b, $c) = (1, 2, 3); リストの中にリストがある場合には、自動的に展開されます。 (@list1, @list2, &function) 上記のようなリストは @list1 のすべての要素の後に @list2 のすべての要素を追加し、その後にfunction というサブルーティンが返すすべての要素を追加します。 リストの要素数と変数の数が違う場合 リストの要素数よりも、用意した変数のほうが多い場合には、あまった

    配列 - 2部 Perl言語仕様 - [SMART]
  • TAKENAKA's Web Page: Perl入門

    ※以下の説明を読むときには,正規表現を整理した 別表 を別ウインドウで開いておくと便利かもしれません. >別表を別ウインドウで開く '同じパターンが再現する'というパターンは後方参照で 前のページで,正規表現を使ったパターンマッチについて簡単に説明しました. ここまでの知識を使って,いろんなパターンの表現方法を考えてみてください. たとえばメールアドレスをどう表現するか,電話番号をどう表現するか, 自分の扱うデータのなかに出てくる文字列パターンをどう表現するか. パズル的な工夫で,いろんなことが表現できます. 後方参照というものを使わないと表現がむずかしいパターンもあります. 後方参照は別表のエスケープシーケンスの終わりの ほうに載っています.パターンの中で,() で囲った部分にマッチした文字列を 意味するものです.() の出てきた順番に,\1, \2,...と書いて指定します. 特殊変数

  • last演算子を使った繰り返し処理の終了

    繰り返し処理の中で last 演算子が実行されるとその時点で繰り返し処理は終了となります。なお終了する繰り返し処理は、 last 演算子が記述されている箇所を含む一番内側の繰り返し処理が対象です。 上記の例では while 文の条件式に 1 と記述しているため、条件式は常に真(true)となりこの while 文は無限ループとなります。ただ、繰り返し処理の中で if 文を使って条件判断を行い、ある条件となったら last 演算子を実行して while 文を終了するようにしてあります。 なお last 演算子によって終了となる繰り返し処理というのは、 while 文、 until 文、 for 文、 foreach 文の他に裸のブロックが対象となります。 if 文などは対象外ですので、上記のサンプルで last 演算子が実行された時に終了するのは if 文ではなく while 文となります。

    last演算子を使った繰り返し処理の終了
  • ★★★CGI-Perl 基礎編 (その八) 正規表現、マッチパターン、置換演算子、変換演算子 - SAK Streets

    CGI-Perl 基礎編 (その八) 正規表現、マッチパターン、置換演算子、変換演算子 ■正規表現 ・過去扱ってきた言語に正規表現などと言うものは存在しなかった。 上手く使うと非常に便利である。(パターンマッチ、パターンマッチング) 以下、簡単にまとめておく。 ■マッチパターン演算子 i 大文字、小文字の区別なし s 単一行として処理 (^、$ は、\n を無視) m 複数行として処理 (^、$ は、行先頭、行末尾) x 空白を無視、# をコメント処理 ■メタ文字 . 改行を除く任意の 1 文字 * 0 回以上のパターン繰り返し + 1 回以上のパターン繰り返し ? 0 または 1 回のパターン ^ 先頭から $ 末尾から \ メタ文字クォート | パターン論理和 () パターングループ [] 文字クラス {} 量指定子 \n 改行 文字クラスでも指定可 \r キャリッジリターン 文字クラ

  • Site Cooler NZ | Points to Note When Shopping for a Washing Machine

    Blog Post Featured post The main kitchen appliance for the modern home and the restaurant for 2010 induction hobs Continue reading Points to Note When Shopping for a Washing Machine There are different washing machines brands in New Zealand. When shopping, therefore, you need to ensure that first, you get a quality machine; a machine that will last through many years without breaking down. You che

  • use strict; を使う理由 - とりあえずメモメモ。

    今更な気がしますが、より多くの人がuse strict;でハッピーになれますように。 use strict; はブラボーなおまじない use strict; と書くだけで以下の3つが機能します。 use strict "vars"; use strict "refs"; use strict "subs"; 1. use strict "vars"; の機能 変数を宣言しないままに使用するとエラーになる機能です。エラーにしないためには、次のいずれかを守って変数を使います。 "our"または"my"を使って変数をあらかじめ宣言する。 "vars"プラグマを使って使う変数を宣言したのち、"local"で変数を宣言する。 パッケージ名を含めて変数を書く。 確実な書き方の例 use strict; use warnings; our $ours; my $mine; use vars qw($lo

    use strict; を使う理由 - とりあえずメモメモ。
    t080400
    t080400 2011/09/28
    use strict use warnings perl
  • 暮らしの情報サイトnanapiはサービスを終了いたしました | nanapi [ナナピ]

    2020年8月31日(月)をもちまして、nanapiに関わるすべてのサービスは終了いたしました。 nanapiは、2009年のサービス開始より「みんなで作る暮らしのレシピ」という考えのもと、ユーザーの皆さまに生活に関する様々な「ハウツー」を投稿していただく投稿型ハウツーサービスとして運営してまいりました。 約11年間にわたって皆さまからご支援をいただきサービスを継続できたこと、nanapi編集部一同、心より御礼申し上げます。 掲載されていたコンテンツなどのnanapiについてのお問い合わせは、nanapi@supership.jp までお願いいたします。 長きに渡りnanapiを応援してくださり、当にありがとうございました。

    t080400
    t080400 2011/09/28
    はてなブックマークに簡単に投稿するための便利なTips
  • サポートベクトルマシン: Golden Path

    サポートベクトルマシン 専門ではないので、そうそう言えませんが。。 非線形時のカーネルトリックがよくすげーと言われますが、 私的には、キホンは、 ラグランジェと最急降下法。。 マージン最大化は、SVMの特徴なので、基礎には入レズ。 線形SVMは、2層ニューラル、非線形は、3層ニューラルと言える。 そう見ると、RBFと同じ部類に入るのかも。。 カーネル関数をどう創るというのが、ちょっと前に盛んにされていたらしい。 MATLABでは、これだと思うけどなあ。。 http://www.isis.ecs.soton.ac.uk/resources/svminfo/ WINでは、これ。 http://www-ai.cs.uni-dortmund.de/SOFTWARE/MYSVM/index.html このページが、わかりやすい。。 http://www.neuro.sfc.keio.a

    t080400
    t080400 2011/09/28
    サポートベクターマシン svm
  • SVM-Light(オプション、使い方)

    ここではソフトウェアSVM-Lightの使い方を説明します。SVM自体の原理を知りたい方は「Chris Burge氏のチュートリアル」が良い教科書となるでしょう。 SVM-Lightは学習モジュール(svm_learn)と識別モジュール(svm_classify)で構成されています。この識別モジュールは、学習されたモデルを新たなサンプルに適用することが出来ます。詳しくは以下に示す「svm_learn」と「svm_classify」の使い方を参照してください。 svm_learn svm_learnは次のようなパラメータによって呼び出されます。

    t080400
    t080400 2011/09/28
    svm lightの使い方 オプション 回帰
  • http://www.bi.a.u-tokyo.ac.jp/~tak/index.html

    t080400
    t080400 2011/09/28
    svm-light svm_light svm light 翻訳 日本語訳 使い方 howtouse
  • 第7章 正規表現

    正規表現とは、ある特定の文字列ではなく、文字列の一部をパターン化して表現する手法をいいます。 複数行ある文章の中から文字列を検索する時に、検索したい文字列すべてを指定するのではなく、文字列の任意の一部を置き換え可能な状態にして検索をする場合に正規表現を用います。 たとえば、UNIXやWindowsでは、文字列を検索するときに使用される正規表現として、「*」を任意の文字列(空き文字も含む)に、「?」を任意の1文字として使用することができます。パターンを、app* と表現すると、 apple application appeal などの文字列がマッチすることになります。 この正規表現の方法は、当然ながらプログラム言語ごとに異なりますが、Perlの場合は他の言語に比べて非常に強力な正規表現によるパターンマッチ機能を備えており、より詳細にかつ容易にパターンマッチを行うことができるとされています。

    t080400
    t080400 2011/09/28
    perl 正規表現 パターンマッチ
  • SVM-Light: Support Vector Machine

    SVMlight Support Vector Machine Author: Thorsten Joachims <thorsten@joachims.org> Cornell University Department of Computer Science Developed at: University of Dortmund, Informatik, AI-Unit Collaborative Research Center on 'Complexity Reduction in Multivariate Data' (SFB475) Version: 6.02 Date: 14.08.2008 Overview SVMlight is an implementation of Support Vector Machines (SVMs) in C. The main featu

    t080400
    t080400 2011/09/28
    svm-light svm_light suport vector machine svm
  • while文やfor文を使い標準入力から繰り返し値を取得

    上記の場合、 while 文の条件式の箇所で標準入力から 1 行読み込みます。条件式が真の場合、読み込んだ行から改行を取り除き画面に出力しています。 行入力演算子である <> はファイルの終端を読み込むと未定義値(undef)を返します。そこで defined 関数を使い未定義値(undef)が返されたときに繰り返しを終了させています。未定義値(undef)自体が偽となるため、 defined 関数を使用しなくてもファイルの終端に達すると繰り返しは終了しますが、未定義値以外で改行無しの 0 などを読み込んだ場合でも条件式は偽となるため未定義値(undef)かどうかを判別する方が安心です。 今回のように標準入力から値を読み込む場合はファイルの終端と言うものはありませんので、ファイルに終端に相当する Ctrl+z を入力して Enter キーを押すか、または繰り返し処理の文の中で何からの条件

    while文やfor文を使い標準入力から繰り返し値を取得
    t080400
    t080400 2011/09/28
    標準入力 perl 読み取り while for