あとで読むに関するhtkymtksのブックマーク (2)

  • ハイインピーダンスの上手い使い方 – 日記帳だ! with Tux on Libserver

    今日は午後から授業がないので、MIG周りの回路を設計していた。 とりあえず今日は書き込みの回路を書き終わった。シミュレーションしないとちゃんと動くか分からん。 あと、シミュレーションでは良くても実機では上手く動かない可能性もあるし厄介。 ところでVerilogではポートにはinput,output,inoutの3種類がある。 inputは入力ポート、outputは出力ポートなのはすぐわかるが、inoutってなんじゃとなる。 inoutは入力にも出力にもなるポートですね。 どうやって入力と出力を兼ねるのか、使い方が気になるポートだが、ちゃんとうまい使い方がある。 module A(inout [7:0] X,input X_OUT_EN) reg [7:0] X_OUT; assign X= X_OUT_EN ? X_OUT : 8'hzz; //(略) endmodule ポートに信号を出

    htkymtks
    htkymtks 2023/12/12
    ハイインピーダンスの理解を深めるのに良さそう
  • 電気回路/HDL/リセットについての考察

    リセット信号の扱い † FPGA 開発を始めた当初(半年前くらい?)、 リセット信号の取り扱いについてあまり深く考えておらず、 「何となくリセットが掛かりそうな回路」を書いて満足していました。 しかし、レーシングなどについて勉強してからよく考えてみると、 リセットには慎重な扱いが必要であることが分かってきて、 ここらで一度考え直そうと思い立ちました。 ところが、調べれば調べるほどいろんなことが出てきて、 ちょっと泥沼状態です・・・ (2010/09/03) 実機での検証もうまく行っているようなので、 始めて読んだときに読みやすいように大幅に書き直しました。 参考にした内容 † 小林芳直著「定 ASICの論理回路設計」CQ出版社 内容的にはちょっと古い気もしますが、レーシングやメタステーブル、スタティックハザードなど、 一目見ただけでは HDLコードに現れてこない注意事項について勉強するに

    電気回路/HDL/リセットについての考察
    htkymtks
    htkymtks 2023/10/23
    同期式リセットと非同期式リセットに関する考察
  • 1