タグ

hardwareに関するnekoharuのブックマーク (35)

  • 2010年,FPGAも動的再構成へ - 日経エレクトロニクス - Tech-On!

    日経エレクトロニクス最新号(2009年7月27日号)の解説記事では,「ダイナミック・リコンフィギュラブル(動的再構成)」技術について取り上げました。記事では,演算器(ALU)をチップ上にマトリクス状に並べた,いわゆる「ALUマトリクス型」の技術を中心に取り上げたのですが,実は最近ではスタティック(静的)なリコンフィギュラブル・デバイスであるFPGAにおいても,システムの稼働中に動的な再構成ができるようになりつつあります。 詳細は誌記事をご一読頂ければと思いますが,2010年春にFPGA大手ベンダーの米Xilinx, Inc.が,「Virtexシリーズ」において動的部分再構成を正式に商用サポートする予定です(pp.70-71)。 「FPGAで動的再構成って,今までも実現していなかったっけ?」というご指摘があるかもしれません。 実はその通りで,Xilinx社が動的再構成に対応したのは2001

  • http://www.icarus.com/eda/verilog/

  • フリーな EDA 関連ツールの話題

    SystemC 以外の、無償で使える EDA (Electronic Design Automation) 関係のツールの情報です。 プータローが回路設計者を気取るためのアイテムとしても重要ですが、そこそこ仕事に使えたりもします。 勿論、各ツールの使える機能を嗅ぎ分けて、つぎはぎする甲斐性は必要ですが... -- しかし最近、どう見ても "cygwin で EDA" のページやなあ...(2004-01-24) -- のぼしぇもんが cygwin 使いなので仕方ないか... コマンドラインで、フィルタや script 言語などの utility と、 自由に組み合わせて使えないと意味が無いと信じておりますので、 -- "ホントにお前はちゃんと使いこなしているのか ?" という突っ込みは勘弁 (-_-;)... モロ windows 用の GUI ベースのツール

  • ASICとは - IT用語辞典

    ASIC 【Application Specific Integrated Circuit】 カスタムIC / custom IC 概要 ASIC(Application Specific Integrated Circuit)とは、半導体集積回路(IC:Integrated Circuit)の分類の一つで、ある特定の機器や用途のために、必要な機能を組み合わせて設計、製造されるもの。 狭義には、電子機器などのメーカーが自社の機器に搭載するために自社で、あるいは半導体メーカーなどに依頼して、特別に設計するオリジナルのICチップを指し、この意味が一般的である。 広義には、特定の用途や機能のために半導体メーカーが独自に開発し、様々な顧客に完成品(既成品)として製造・提供する「ASSP」(Application Specific Standard Product:特定用途向け標準製品)を含める場合

    ASICとは - IT用語辞典
    nekoharu
    nekoharu 2010/12/13
    ASICとはなんぞや
  • 「スタミナ」で勝負するネットワークウォークマン

    ソニーのネットワークウォークマンが。4月末のBCNのランキングでフラッシュメモリカテゴリでiPod shuffleを抜いてトップとなった。さらに、決算会見でも回復について言及されるなど、ようやく「ポータブルオーディオのソニー」の復活の声も聞こえはじめた。 ネットワークウォークマンシリーズの大きな差別化ポイントとしてアピールされているのが、「スタミナ再生」だ。スタミナ再生のコア技術となるのが、「バーチャルモバイルエンジン(VME)」と呼ぶ独自のLSI技術で、全てのネットワークウォークマンに、このVMEを内蔵したLSIを搭載している。 VMEを最初に搭載したのは、2003年2月に発売されたネットワークウォークマン「NW-MS70D」。ATRAC3plusに初めて対応したほか、最高で33時間というスタミナ再生を謳った製品だったが、VMEの開発自体は2000年よりスタートしていたという。 このVM

  • さらに分かっておきたいトランジスタの種類 − @IT MONOist

    組み込みソフトウェア/ハードウェア開発における技術力の向上、改善・最適化などを幅広く支援する“組み込み開発エキスパート”のための情報フォーラム

  • クロック同期設計 - Wikipedia

    この記事は検証可能な参考文献や出典が全く示されていないか、不十分です。出典を追加して記事の信頼性向上にご協力ください。(このテンプレートの使い方) 出典検索?: "クロック同期設計" – ニュース · 書籍 · スカラー · CiNii · J-STAGE · NDL · dlib.jp · ジャパンサーチ · TWL(2017年1月) クロック同期設計 (クロックどうきせっけい) は、デジタル論理回路の設計技術のひとつである。 クロック信号と呼ばれる一定の周期でHi-Lowを繰り返す信号をフリップフロップに入力すると、データ信号などフリップフロップに入力された他の信号をクロック信号の周期に合わせて遅延させることができる。 これを間に挟むように用いて論理回路を構成すれば、その中の論理回路はそのクロック周期を越えない限り設計者はタイミング設計ではクロック信号からの遅れ要素だけ考慮すれば済む。

    nekoharu
    nekoharu 2010/05/06
    ハードウェア設計の基礎、クロック同期
  • SystemC - Wikipedia

    SystemC(システムシー)は、電子回路機器の機能設計への使用を目的としたハードウェア記述言語 (HDL) の一種である。SystemC登場以前より存在し、純然たるHDLであるVerilogやVHDLに比べ、動作レベルモデリングなど、よりシステム寄りの記述言語である。 SystemCは、プログラム言語であるC++のクラスライブラリを提供している。独立した文法ではない。ライブラリにはハードウェア記述の為の機能、並列実行の概念やデータ型を扱う各種関数を定義している。プログラムはC++コンパイラでコンパイルすることができる。生成したオブジェクトはハードウェアのシミュレータとして動作する。 言語としては、VerilogやVHDLと類似点も持つ。C++に由来する抽象データ型やテンプレート、動的なメモリ割り当てなどを使った自由度が大きく、抽象度の高い記述が可能である。自由に、抽象的に記述したものを実

    nekoharu
    nekoharu 2010/02/16
    あとで読む
  • Free Verilog-VHDL converter (CQV2V) support page

    CQ出版社デザインウェーブ誌2004年7月号上で私が作成したフリーVerilog-VHDL変換ツール CQV2V(フリーウェア)をサポートするためのHPです.

    nekoharu
    nekoharu 2009/12/28
    両方勉強した方がいいんだけど、とりあえずの応急措置
  • Debian -- Package Search Results -- gplcver

    Limit to suite: [buster] [buster-updates] [buster-backports] [bullseye] [bullseye-updates] [bullseye-backports] [bookworm] [bookworm-updates] [bookworm-backports] [trixie] [sid] [experimental] Limit to a architecture: [alpha] [amd64] [arm] [arm64] [armel] [armhf] [avr32] [hppa] [hurd-i386] [i386] [ia64] [kfreebsd-amd64] [kfreebsd-i386] [m68k] [mips] [mips64el] [mipsel] [powerpc] [powerpcspe] [ppc6

  • 「Icarus Verilog」+「IVI」の使い勝手を試す ――波形表示GUI付きのフリー・シミュレータ

    ここでは,フリー・ソフトウェアとして提供されている波形表示ソフトウェア「IVI」を紹介する.Icarus VerilogなどのHDLシミュレータにIVIを組み込むことにより,波形表示GUI付きのシミュレータとして利用できる.  (編集部) フリーのVerilog HDLシミュレータとして知られている「Icarus Verilog」注1はGUI(graphical user interface)を備えていないので,シミュレーションを行ってもそのままでは波形を観測できません.従来はシミュレーション結果をvcdファイルとして記録し,それをほかの波形ビューワ(GTKWaveなど)で見るという手順を踏んでいました. しかし,最近では,波形を表示するGUIソフトウェア「IVI」の開発プロジェクトが進められています.これを利用すれば,Icarus Verilogのシミュレーション結果を簡単に波形表示でき

    nekoharu
    nekoharu 2009/09/05
    Icarusを利用した波形シミュレータ
  • 新居良祐サポートページ - Verilog-HDL入門

    Verilog-HDL 入門 私は,LSI に関する研究をしているため,回路をテキストで記述する(ネットリストを書く)ことがあります。これが,結構面倒くさい!! ある時ふと「Verilog」で回路を設計してみようと思い,記述してみると Verilog で記述する方が“ん10倍”楽でした(← 専門の人からすると,当然だと思いますが…)。 今回,Verilog に関する自分用のメモとしてこのページに残すことにしました。ただし,私は Verilog-HDL の専門家ではないので,誤った記述が多々存在するかもしれません。その場合には,ぜひご一報ください。 (注:プログラムも表も,キャプションを全て“図”としています) メインメニュー Verilog-HDL とは Verilog シミュレータと波形表示ソフトのインストール いりなり Verilog を書いて,シミュレーションしてみる Verilog

  • 新居良祐サポートページ - Verilog-HDL入門

    5.3 モジュール宣言(module, endmodule) module モジュール名(入出力ポート名); … … 回路の記述 … … endmodule モジュールとは回路ブロックのことです。Verilog-HDL で回路やシミュレーションの記述を行うときには必ず宣言します。モジュールの最後は endmodule と記述します。 module には必ずセミコロン“;”が必要ですが,endmodule には必要ありません。 モジュール名には適当な名前(識別子)を付けることができます。入出力ポート名には,入力信号と出力信号の両方を記述してください。 5.4 ポート宣言(input, output) input 入力信号名; output 出力信号名; モジュール宣言の入出力ポート名で記述したものを,入力と出力に分けて宣言します。またバスの宣言も可能です。たとえば, input a3, a2

  • カウンタ回路(同期式)

    論理回路2 第5章 順序回路の基礎(その4) −カウンタ回路(同期式)− 信州大学工学部 井澤裕司 1 はじめに 4章では、非同期式カウンタを様々な種類のフリップフロップを用いて構成する手法について学習しました。 この非同期式カウンタの構成は単純ですが、段数が多くなると遅延時間も大きくなるという欠点があります。 また、例えば2のべき乗以外の数を計測する場合、非同期のクリア入力を用いるため、ハザードが生じないような配慮が必要になります。 このような理由により、非同期式のカウンタが大規模なLSIで使用されることはほとんどありません。 章では、この非同期式に代わって広く用いられている同期式カウンタの構成法について解説します。 この同期式カウンタの設計手法の応用範囲は極めて広く、基的にハザードの生じない順序回路を構成することが可能です。 順序回路の最も重要な項目のひとつですので

  • Verilog Simulator & FPGA &CPUのページ

    Veritakは、高速Verilog HDLシミュレータです。WindowsXP/Windows2000/Vista(32ビット/64ビット)/Windows7(32ビット/64ビット)の環境下で動作します。 LSI エンジニアによるプロフェッショナルLSIエンジニア為の設計ツールですが、FPGAの開発ツールとしてもご利用いただけます。 フリーのWEB Editionでもゲート規模でいえば、搭載RAMも含めると100万ゲート規模の開発が可能な時代になってきました。設計ツールさえ整えれば、独自アーキテクチャでCPUやDSP等何でもFPGAで実装が可能です。また、最近は、CコンパイラもオリジナルCPUに対応させることができるような環境が整ってきました。 オリジナルCPUFPGAで走らせる、20年前には、考えられなかった夢が今、現実になろうとしています。 FPGACPUを自作したい方のために

    nekoharu
    nekoharu 2009/08/28
    シェアウェアだけどどうなんだろう
  • ディジタル・デザイン・テクノロジ

    特集 FPGAによる高速化設計 ―― 画像のパターン・マッチングから高速データ検索 記事 FPGA設計コンテストと六目並べConnect6 ゲームの思考回路をFPGAで作る テンプレート・マッチングと演算量の削減 高速な画像検出回路を実現する 連想メモリのFPGA上での実現とConnect6への応用 高速な検索ハードウェアを実現する 10月10日発売 特別号定価 2,100円

  • Icarus Verilogの使い方

    フリーの Verilog シミュレータ Icarus Verilog の使用方法です。 Version 0.6のコマンドラインオプションを参考にしているため、上位バージョンでは更なるオプションがあるかもしれません。 書式 iverilog [-ESVv] [-Cpath] [-ccmdfile] [-g1|-g2|-g3.0] [-Dmacro[=defn]] [-pflag=value] [-Iincludedir] [-mmodule] [-Mfile] [-Nfile] [-ooutputfilename] [-stopmodule] [-ttype] [-Tmin/typ/max] [-Wclass] [-ypath] sourcefile コマンドラインオプション iverilogは以下のオプションを指定可能です。 オプション 説明

  • さらに分かっておきたいトランジスタの種類 − @IT MONOist

    IoT(モノのインターネット)市場が拡大する中で、エッジ側の機器制御で重要な役割を果たすことが期待されているリアルタイムOS(RTOS)について解説する連載。第44回は、MCUとDSPのデュアルモードに対応した先進的RTOS「RTXC Quadros」について紹介する。

  • 【インフォシーク】Infoseek : 楽天が運営するポータルサイト

    日頃より楽天のサービスをご利用いただきましてありがとうございます。 サービスをご利用いただいておりますところ大変申し訳ございませんが、現在、緊急メンテナンスを行わせていただいております。 お客様には、緊急のメンテナンスにより、ご迷惑をおかけしており、誠に申し訳ございません。 メンテナンスが終了次第、サービスを復旧いたしますので、 今しばらくお待ちいただけますよう、お願い申し上げます。

  • pasonisan.com

    pasonisan.com 2024 著作権. 不許複製 プライバシーポリシー