並び順

ブックマーク数

期間指定

  • から
  • まで

121 - 149 件 / 149件

新着順 人気順

vhdlの検索結果121 - 149 件 / 149件

  • XilinxとSamsung、FPGA内蔵で適応性を高めた計算用ストレージ製品を発表

    XilinxとSamsung Electronicsは、11月10~12日に開催された「Flash Memory Summit Virtual Conference and Expo」にて、XilinxのFPGA搭載したSamsung SmartSSD CSD(Computational Storage Drive)を発表した。 SmartSSD CSDは、FPGAを内蔵することで適応性を高めた計算用ストレージプラットフォームで、大量のデータ処理を伴うアプリケーションに必要な性能、カスタマイズ性、およびスケーラビリティを備えているとのことで、Xilinxは同製品を2020年1月より自社ならびに正規販売代理店を通じて出荷を開始する予定としている。 SmartSSD CSDはXilinxのFPGAをアクセラレータとして組み込むことでデータの近くでの高速演算を可能としたことで、サーバCPUによる

      XilinxとSamsung、FPGA内蔵で適応性を高めた計算用ストレージ製品を発表
    • 「汎用FPGAの空白を埋める」、Efinixの成長戦略を聞く

      「汎用FPGAの空白を埋める」、Efinixの成長戦略を聞く:CEO独占インタビュー(1/5 ページ) FPGAはかつては2社が独占していたが、現在は60億米ドルを超えるFPGA市場のさまざまな隙間を埋めようとする企業が数多く存在する。そうした企業の1つで、近くナスダック上場も計画する成長企業EfinixのCEOに、米国EE Timesが独占インタビューを行った。 FPGAは、かつては2社が独占していたが、現在は60億米ドルを超えるFPGA市場のさまざまな隙間を埋めようとする企業が数多く存在する。そうした企業の1つがEfinix(エフィニックス)だ。同社は10周年を迎え、Apple本社からそう遠くない米国カリフォルニア州クパチーノに大規模な新オフィスを開設した。 立ち上げから10年の進展と成長戦略を聞く 米国EE Timesはその新オフィスで、共同設立者でCEO(最高経営責任者)を務めるS

        「汎用FPGAの空白を埋める」、Efinixの成長戦略を聞く
      • Flex LogixがAIチップ提供を停止、今後はIP提供へ

        スタートアップのFlex Logix Technologiesが、AIアクセラレーター事業についてビジネスモデルを転換する。チップの提供は停止、今後はIPビジネスに切り替える。 組み込みFPGA(eFPGA)を手掛けるFlex Logix Technologies(以下、Flex Logix)のCEO(最高経営責任者)を務めるGeoff Tate氏が米国EE Timesに語ったところによると、「AI(人工知能)アクセラレーターチップ『InferX X1』の販売を停止し、代わりに同アーキテクチャをライセンス可能なIP(Intellectual Property)として市場投入する」という。 Tate氏は、「チップとボードの市場は比較的小さいことが分かった。自動車分野以外にはあまり大きな顧客は見込めないが、自動車分野に参入するのはスタートアップにとっては難しい。そこで、テクノロジー市場でシェア

          Flex LogixがAIチップ提供を停止、今後はIP提供へ
        • Vitis を用いたアクセラレータの開発 (1) Vitisとは? | ACRi Blog

          はじめまして。 AVNET 仲見です。ここでは XILINX 社が Release している統合開発環境の Vitis という Tool の概要、構築するための Flow についてご紹介いたします。 XILINX 社の Tool はどんなものがあるの?まずはじめに、XILINX 社が現在 Release している Tool について Hardware、Software のそれぞれの開発では以下が用意されています。 Hardware 開発Vivado Design Suite-HLx Edition Vivado でRTL (Verilog/VHDL) およびIP Integrator、FPGA の回路の開発 Software 開発Vitis コア開発キット Embedded Application、Acceleration Application の開発 Vitis Acceleratio

            Vitis を用いたアクセラレータの開発 (1) Vitisとは? | ACRi Blog
          • オリジナルの無線LANをFPGAで作ろう

            オリジナルの無線LANをFPGAで作ろう 1ページ目 1-この実験企画について 最近の無線LANは驚くほど通信速度が上がって今や数百Mbpsのものが市販されています。 無線LANが当たり前になってしまった感がありますが、冷静に考えてみると不思議です。 光ファイバや電線でつながっていないのに、どうしてこんなに高速にデータを送れるのでしょうか? どんな技術が使われているのでしょうか?? この企画は、自分で無線LANを作ってみて無線LANに使われている技術を体験してみようというものです。 無線LANの技術教科書に載っている予備知識にはあまりとらわれずに、気楽にオリジナルの無線LANを作ってみましょう。 2-この実験のブロック図 この実験の大まかなブロック図です。進んでいくうちに、詳細を出していきます。 最近の無線通信の変調復調(※1)は、ほとんどがデジタル方式なっていると言われています。 デジタ

            • How Does an FPGA Work? - SparkFun Learn

              Introduction Let’s start at the beginning. What is an FPGA? Well, FPGA stands for Field Programmable Gate Array, which isn’t helpful in understanding what they are or do but we had to get that out of the way. FPGAs belong to a class of devices known as programmable logic, or sometimes referred to as programmable hardware. Essentially, an FPGA doesn’t do anything itself but it can be configured to

              • GitHub - google/xls: XLS: Accelerated HW Synthesis

                XLS implements a High Level Synthesis toolchain that produces synthesizable designs (Verilog and SystemVerilog) from flexible, high-level descriptions of functionality. It is Apache 2 licensed. XLS (Accelerated HW Synthesis) aims to be the Software Development Kit (SDK) for the End of Moore's Law (EoML) era. In this "age of specialization", software and hardware engineers must do more co-design ac

                  GitHub - google/xls: XLS: Accelerated HW Synthesis
                • FPGA Interchange format to enable interoperable FPGA tooling

                  The latest news from Google on open source releases, major projects, events, and student outreach programs. Field Programmable Gate Arrays (FPGAs) have been around for decades and historically, the development of their specific toolchains happened in separate ecosystems that were driven by the vendors themselves. This has changed in recent years with the development of vendor-neutral open source t

                    FPGA Interchange format to enable interoperable FPGA tooling
                  • FPGAの部屋のまとめサイト

                    FPGAの部屋の記事をまとめることにしました。カテゴリ別にリンクがあるのでリンクに飛んでください。私が有用と思われるコンテンツ についてリンクがあります。 このページでは主にXilinx社のFPGAについての話題を書いています。 AMD(Xilinx)社のFPGA用ツールについて FPGAリテラシーおよびチュートリアル (Xilinx社のFPGAツールの使い方や7セグメントLEDのダイナミック点灯などについて書いてあります。初めての方はここをごらん頂くと良いと思 います) Xilinx ISEについて (XilinxのISEツールについての情報、ここがおかしいとかこうすると良いなどの情報) UCFの書き方 (XilinxのISEツールを使う上での大事な制約ファイル(UCFファイル)の書き方) Floorplannerの使い方 (モジュールをフロアプランできるツールFloorplannerの

                    • Wenting on Twitter: "Left: Apple M1, Right: Core i7-6600U. Both running Verilator based VerilogBoy RTL simulation in single thread mode.… https://t.co/5JQZmLXgjC"

                      Left: Apple M1, Right: Core i7-6600U. Both running Verilator based VerilogBoy RTL simulation in single thread mode.… https://t.co/5JQZmLXgjC

                        Wenting on Twitter: "Left: Apple M1, Right: Core i7-6600U. Both running Verilator based VerilogBoy RTL simulation in single thread mode.… https://t.co/5JQZmLXgjC"
                      • 回路をI2Cで書き換えられるIC、GreenPAKを買ってみた

                        概要 汎用ロジックを組み合わせた回路をI2C経由で書き換えられるGreenPAKというプログラマブルデバイスを購入してみました。もうちょっと深く触っていきたいと思いますが、まずはデバイスの概要紹介をしたいと思います。 GreenPAKとは? いろいろな製品があるのですが、I2C経由で回路を書き換えることができるデバイス群です。購入したのは何度も書き換えが可能なタイプですが、ほとんどの製品は一度だけしか書き換えられないデバイスです。 既存の数十の部品で構成された回路を、少ない部品数に集約するためによく使われるデバイスで、回路を書き込んだ状態で出荷もしてくれるみたいです。 GreenPAKっていうFPGAみたいなデバイスを紹介してみたい(@AoiSaya) 日本ではあおいさやさんの上記ページに情報がまとまっています。 購入物 SLG4DVKDIP(開発用書き込み機)SLG46826V-DIP(

                          回路をI2Cで書き換えられるIC、GreenPAKを買ってみた
                        • Kria KV260 Vision AI Starter Kit

                          The development platform for Kria K26 SOMs, the KV260 is built for advanced vision application development without requiring complex hardware design knowledge. Power supply sold separately.

                            Kria KV260 Vision AI Starter Kit
                          • 「超低遅延社会」を実現するかも知れないFPGAの可能性とは

                            はじめに HPC業界と言えば、GPUとサーバークラスのCPUを組み合わせた構造が花盛りですが、「いつまでもこの状態が続くことはない」と、もやもやを感じている方々も多いと思います。その「もやもや」を解消すべく、FPGA屋から見たHPCについてエッセンスを紹介していきます。 HPC業界の現状と未来予想 2年前のAMD社によるXilinx社買収報道が大きく取り上げられました。FPGAの専門家を旗印にしていることもあり、銀行員、証券会社、アナリスト、居酒屋の顔なじみ、親戚や明らかに関係のなさそうな方々まで解説を求めてくる時期があり、FPGA注目度の急上昇を実感しました。日本のHPC業界ではCPUとGPUだけで十分で、FPGA屋はお帰りくださいと言われる時代もありましたが、報道の力による急変には驚愕しました。 長年にわたりFPGAと関わり続け、現状のような時代は必ず来ると予測していましたし、同じこと

                              「超低遅延社会」を実現するかも知れないFPGAの可能性とは
                            • JSONベースで書ける波形表示ツールWaveDromがとても便利だった (2) - FPGA開発日記

                              少し前にWaveDromというJSONで波形を表示するツールについて調査していたのだが、ある程度使いこなすことが出来るようになってきた。 今作っている自作RISC-V CPUの仕様書を書くために波形を書いているのだが、結構便利に使うことが出来ている。 例えば、以下のようなJSONファイルを書けば自動的にPNGとSVGを作ることが出来た。 JSONファイル。クリックで展開 { "signal": [ { "name": "i_clk", "wave": "p................." }, [ "Request", { "name": "valid", "wave": "0.1.0..10........." }, { "name": "PA", "wave": "0.340..50.........", "data": "PA0 PA1 PA2" } ], [ "Store Bu

                                JSONベースで書ける波形表示ツールWaveDromがとても便利だった (2) - FPGA開発日記
                              • GitHub - olofk/serv: SERV - The SErial RISC-V CPU

                                You signed in with another tab or window. Reload to refresh your session. You signed out in another tab or window. Reload to refresh your session. You switched accounts on another tab or window. Reload to refresh your session. Dismiss alert

                                  GitHub - olofk/serv: SERV - The SErial RISC-V CPU
                                • OpenCVのFPGAハードウェア化 KRIAで行うOpenCVの高速化(Vitis2022.1版) - Qiita

                                  FPGAでOpcnCVのハードウェア化 画像ライブラリーとして、人気の高い、OpenCV C言語でもPythonでも簡単に使えることが大きな特徴です。 このOpenCVをもっと高速化できないのか? その答えの一つが、FPGAを使って、OpenCVをハードウェア化する方法です。 今回は、2021年に登場した、新FPGAボード KRIA AIスターターキットを使って、 OpenCVのハードウェア化を試したいと思います。 ザイリンクスの新FPGAボードKRIA登場。 2021年になりますが、ザイリンクスから新FPGAボート「KRIA」が登場しました。 これからのFPGA入門機として、大活躍します。 大きな特徴は高性能なのに安い。そして、簡単に使えるようになったことです。 FPGAでAIが実現できる性能を持ちながら、AIスターターキットが3万円台で、購入できます。 今までの3万円台のFPGAボード

                                    OpenCVのFPGAハードウェア化 KRIAで行うOpenCVの高速化(Vitis2022.1版) - Qiita
                                  • GitHub - hdl-util/hdmi: Send video/audio over HDMI on an FPGA

                                    You signed in with another tab or window. Reload to refresh your session. You signed out in another tab or window. Reload to refresh your session. You switched accounts on another tab or window. Reload to refresh your session. Dismiss alert

                                      GitHub - hdl-util/hdmi: Send video/audio over HDMI on an FPGA
                                    • Sipeed Tang Nano FPGAボード GW1N-1 FPGAチップ搭載

                                      0" :class="{'submenu-list':currentMenu.parent && currentMenu.parent.name,'last-menu':isLastLevel}" > ࿻�6V

                                        Sipeed Tang Nano FPGAボード GW1N-1 FPGAチップ搭載
                                      • Expert written FPGA Tutorials - FPGA Tutorial

                                        Explore our free and comprehensive tutorials covering four of the major programming languages which are used in the design and verification of FPGAs.

                                        • ディープラーニング推論におけるVPU、GPU、FPGAの比較

                                            ディープラーニング推論におけるVPU、GPU、FPGAの比較
                                          • E-Tile + Nios V + lwIP でTCP/IP 通信を行う - Qiita

                                            目次 はじめに Intel FPGA でTCP/IP 通信を行うには Nios V lwIP (lightweight IP) E-Tile FIFO Memory QSFPDD FPGA の実装 E-Tile Ethernet IP への入力について lwIP を利用したコードの実装 Nios V <-> FIFO Memory の通信を行うコード Ethernet Packet を送受信するコード TCP の動作を規定するコード(=Application) 各種設定とmain 関数 lwIP を利用したコードのビルド 動作確認 arping ping iperf (TCP/IP) まとめ 詳細情報 開発環境 テスト環境 はじめに こんにちは。株式会社フィックスターズ の 望月 英輔 と申します。 手元にあったES 品のIntel Agilex F-Series FPGA Develop

                                              E-Tile + Nios V + lwIP でTCP/IP 通信を行う - Qiita
                                            • Sipeed Tang Nanoで遊んでみる (Linux版) - Qiita

                                              ライセンスサーバーの設定のため、以下の環境変数を設定する。他のflexlm系ライセンスを使っている場合は、既存のLM_LICENSE_FILEに: で区切って追加する。再起動時に自動的に設定されるように .bashrc あたりに追加しておくとよい IDE/bin/gw_ide を実行する。ライセンスエラーとか言われるので、Use Floating License Server を選んで、Serverに45.33.107.56, Portに10559を入力してSaveを押し、再度gw_ideを実行する。 GOWIN FPGA Designer の画面が表示されたら成功 これでLinuxでの開発環境のインストールは終わりです。 Lチカする 買ってきてUSBポートに繋ぐとボード上のカラーLEDが点灯します。 動作確認用に点灯パターンを変更してみます。 プロジェクト作成 サンプル・プロジェクトが用

                                                Sipeed Tang Nanoで遊んでみる (Linux版) - Qiita
                                              • Home

                                                An open standard for high-performance peripheral connectivity. Low cost, compact, high-performance connectorsPin count economizes available FPGA I/OLow cost cable optionsFREE to license

                                                  Home
                                                • Tang-Nano-4Kの性能を確認する - Qiita

                                                  目的 Tang-Nano-4Kを購入した。 無印のTang-Nanoが出てから約2年、4Kは無印の後継機と言う事だろう。 値段を言うのは無粋だが、カメラ、USBケーブル付きで1980円也。 この機能で之は安いと思う。パソコンさえ有れば之だけでFPGA三昧できるぞ。 Tang-NanoよりもLUTは4608LUTと4倍、SRAMは2.5倍に増量、 MCUとしてCortex-M3も組み込み、とどめに8MBのDRAMまで内蔵。 更にボード上に4MBのFlashを搭載し、HDMIのポート迄付けるとは。 ◆◆◆ これはフ〇ミコンミニを作れという信託ですか ◆◆◆ も、もちろんカートリッジを持っている範囲だよ。 経緯 ウェ~ブをなんとなく見ていたら、Tang-Nano-4Kのライセンスへの記述に目が行った。 GOWIN EDA Education版がライセンスファイル不要で使えることの検証 実はTan

                                                    Tang-Nano-4Kの性能を確認する - Qiita
                                                  • FPGAにおけるベンダフリーな検証環境について

                                                    はじめに 技術部のcpcと申します。FPGA等での回路設計や所謂IoTセキュリティをしています。 さて、FPGAへ実装を行う場合、最終的にはどこかのベンダの製品を使う訳でベンダ依存になるのは当たり前の事ではありますが、ベンダIPが密結合していない部分等で可搬性が高い構成にしたいことは多いです。 そこで今回はオープンソースツールを使ったベンダフリーな検証について書きたいと思います。 有り体に言ってしまえば「 UVM, Verilogシミュレータ, 波形ビューワ…この世にはもっと良いベンダ実装が有ったほうが良い物が沢山あります。それらをOSSのパワーでフォローします。」という事ですね。 なおVerilog系、特に断りがない場合はverilog-2005とSystemVerilog-2012に対応しているツールだけを紹介します。また、本稿でVerilogと書いた場合Verilog-HDLだけでは

                                                      FPGAにおけるベンダフリーな検証環境について
                                                    • NES on FPGA +そんなベタなファミコンはイヤだ!+

                                                      NES on FPGAそんなベタなファミコンはイヤだ! ファミコン互換機を自作して、プロセッサ、グラフィック、 サウンドについてのアーキテクチャやディジタル信号処理の基礎を体得! ここでは、実装で確認したファミコンに関する情報と、 実装についての解説を公開しています。 トリプルプレイってやつ? _ ▼ 仕様 [NES] [CPU] [PPU] [APU] [PAD] [カートリッジ] [参考文献] _ ▼ 構成 ・初代 Spartan-IIE300版 -ファミコンするならFPGA- ビデオ出力 VGA 解像度640x480 最大512色 /サウンド出力 モノラルorステレオ、NSF再生機能(内蔵音源のみ)、ΔΣ型1ビットDAC、φ3.5mmステレオミニ端子 /ゲームコントローラ プレイステーションDUALSHOCK2、フォースフィードバック(振動)対応、2Play対応、マイク未対応 /ゲー

                                                        NES on FPGA +そんなベタなファミコンはイヤだ!+
                                                      • 『LOVOT』の目線の高さに合わせてしゃがむと近づいてくる精度が向上 コニカミノルタの骨格検出技術 5月末に配信予定 - ロボスタ ロボスタ - ロボット情報WEBマガジン

                                                        ロボットベンチャーのGROOVE X 株式会社が手掛ける家族型ロボット『LOVOT』(らぼっと)は、コニカミノルタ株式会社が開発したヒトの姿勢をAIで検知する独自の「FORXAI(フォーサイ) Imaging AI」骨格検出技術を『LOVOT』の新機能として搭載することを発表した。 「FORXAI Imaging AI」骨格検出技術はAIが映像中の人物を自動検知し、その骨格を高速に検出することで、どのような姿勢をとっているかを推定する技術。同技術の搭載により、『LOVOT』が遠くでこちらを見ている時に、目線の高さを合わせるようにしゃがむと『LOVOT』が近づいてくる精度が向上し、『LOVOT』とのスキンシップがより豊かになる。同機能のアップデートは2022年5月末を予定。 「FPGA」と組み込みAIを活用 コニカミノルタはカメラ、写真技術から派生した画像、光学分野のコア技術をもち、物体認識

                                                          『LOVOT』の目線の高さに合わせてしゃがむと近づいてくる精度が向上 コニカミノルタの骨格検出技術 5月末に配信予定 - ロボスタ ロボスタ - ロボット情報WEBマガジン
                                                        • 組み込みの「一番根っこ」を狙うか否か 戦略が別れる小規模FPGAの現状

                                                          今月のお題は、厳密にいうとあまり2月のニュースではない(1月と3月のニュースが混じっている)のだがご容赦頂きたい。今月のお題は小容量FPGAについてである。 最小構成でも大容量、AMDの新Spartan AMDは2024年3月5日に「Spartan Ultrascale+」を発表した(「エッジ向け「Spartan UltraScale+」、AMDが発表」)。ここでポイントになるのは、最小構成の「SU10P」でも11K LCとかなりの大容量になっていることだ。オンチップメモリも1.77Mビットとかなりの容量である。これだけあれば、実はMicroBrazeどころかフルスペックのRISC-V(RV32I)を動かしてまだ余裕がある回路規模であって、既にGlue Logic向けを完全に通り越している気がしなくもない。もちろん、前世代の「Spartan-7」も2035年まで提供されることが明言されてい

                                                            組み込みの「一番根っこ」を狙うか否か 戦略が別れる小規模FPGAの現状
                                                          • RTLプログラミング考察

                                                            RTL言語(今回はSystemVerilogを使います)をハードウェア記述言語ではなく、C++ とか CUDA とかの延長にある、低レイヤで並列プログラミングする言語として捉えてみようという試みです。 はじめに RTL(Register Transfer Level)で記述できるプログラミング言語として、SystemVerilog/Verilog、VHDL、Chisel、などなど様々な言語があります。 これらは、C/C++、Python、Rust、Go などの多くのプログラミング言語と同様にチューリング完全な言語であり、基本的にどんな計算でも記述できます。そもそもいわゆる CPU などの LSI の設計をターゲットにこれらの言語は設計されていますので、 CPU で出来る計算は基本的になんでも記述できます。 一方で、現在は LSI のようなハードウェアの設計だけでなく、FPGA をはじめとし

                                                              RTLプログラミング考察

                                                            新着記事