並び順

ブックマーク数

期間指定

  • から
  • まで

41 - 80 件 / 165件

新着順 人気順

vhdlの検索結果41 - 80 件 / 165件

  • オレオレASICとオレオレCPUで作る「オレたちのSoC」 CPU脳をたたき直す“自由ASIC時代”の到来

    Kernel/VM探検隊は、カーネルやVM、およびその他なんでもIT技術の話題ジャンルについて誰でも何でも発表してワイワイ盛り上がろうという会です。takeoka氏は、現在開発中のSoC(System-on-a-chip)について発表しました。 LSI(Large Scale Integration)の民主化が進んでいる takeoka氏(以下、takeoka):俺ASICと俺CPUを作っているのでその話をちょっとします。 最近、日本でも半導体復興と言われています。(半導体は)お金持ちの物だと思っていたけれど、近頃はGoogleが安く作れるようにしてくれていたり、OpenEDAやOpenLANEというOSSのツールを使えば作れます。 これはだいぶ前からですが、Googleががんばっています。ほかにも、FOSSi(Free and Open Source Silicon Foundation

      オレオレASICとオレオレCPUで作る「オレたちのSoC」 CPU脳をたたき直す“自由ASIC時代”の到来
    • AMDのXilinx買収が株主投票で承認

        AMDのXilinx買収が株主投票で承認
      • 言語モデルを高位合成でFPGAに実装してみた

        言語モデルを高位合成でFPGAに実装してみた Turing株式会社のリサーチチームでインターンしているM1の内山です。 Turing株式会社では大規模基盤モデルによる完全自動運転を目指しており、その実現に欠かせない技術として大規模言語モデルの研究開発を行っています。 Generative AI LLMの広範な知識と思考能力に加え、視覚情報やセンサーデータなどの多様な入力を受け入れることで、車の周囲の状況を正確に認識します。さらに、世界モデルを適用することで、高度な空間認知と身体性を獲得し、実世界に対応した生成AIを実現します。 https://tur.ing/ より引用 しかしながら、従来の大規模モデルはデータセンターという大量のGPU・潤沢な電源・安定した地盤を備えた豊かな環境で処理されるものであり、対して自動車というものは余りにも狭く、電源が乏しく、振動が大きいという劣悪極まりない環境

          言語モデルを高位合成でFPGAに実装してみた
        • GreenPAKっていうFPGAみたいなデバイスを紹介してみたい by AoiSaya | elchika

          はじめに チョットした回路を組むのにGreenPAKっていうFPGAみたいなデバイスが便利なので紹介します。 GreenPAKとは GreenPAKは、Renesas(旧Dialog Semiconductor)社が販売しているCPLDとFPGAの間を埋めるようなプログラマブルデバイスです。 汎用ロジックIC数個で組めるような回路の置き換えに適しており、簡単な回路がこれ一個で実現できます。 中でも、SLG46826は装置に組み込んだまま、I2Cを使って何度も設計を書き換えることが可能な、とても楽しいデバイスです。 いろんな回路を書き込んで試せるので、ホビー用途にはこれ一択だと思います。 SLG46826の特徴 デジタル回路と簡単なアナログ回路が使える 回路図入力方式で設計するので、ハードウェア記述言語の知識が不要 様々な回路が組めるので、汎用ロジックICを多種ストックしておかなくても済む

            GreenPAKっていうFPGAみたいなデバイスを紹介してみたい by AoiSaya | elchika
          • オール・トランジスタ4ビットCPUの製作とFPGA開発[Vol.1 ノイマン型CPUの設計]

            オール・トランジスタ4ビットCPUの製作とFPGA開発 [Vol.1 ノイマン型CPUの設計] ALU,レジスタ,I/Oなどをトランジスタ・レベルで手作りし,さらにFPGAにも実装 著者・講師:別府 伸耕/Nobuyasu Beppu (リニア・テック) 企画編集・主催: ZEPエンジニアリング株式会社 関連製品:[VOD/KIT]実習キットでできる!ラズパイPicoでマイコン入門 関連製品:[VOD/KIT]実習キットでできる!ラズパイPico×Wi-FiモジュールでIoT超入門 関連製品:[VOD/KIT]一緒に動かそう!Lチカから始めるFPGA開発【基礎編】 関連製品:[VOD/KIT]STM32マイコン&Wi-Fiモジュールで学ぶ C/C++プログラミング入門 関連製品:[VOD/KIT]実習キットで一緒に作る!オープンソースCPU RISC-V入門 関連製品:[VOD/KIT]P

            • CQ出版のインターフェース6月号に「Rustで作る命令セット・シミュレータ」を寄稿しました - FPGA開発日記

              インターフェースを毎号買って読んでいる方なら「おいおいこの話は先月号に載せるべき話じゃないのかい?」と言うことに気が付くと思います。私もそう思います。が、どうやら編集担当さんによりページ数のミスが発生した模様(本当かどうかは知りませんが)で、なぜか私の記事が吹っ飛ばされて次号に掲載となりましたとさ。なんじゃそりゃ。 CQ出版さんの雑誌インターフェースの6月号「Rustで作る命令セット・シミュレータ」として原稿を寄稿させて頂きました。ちなみに6月号はどんな特集の内容なのか私も把握していないので、どういう話の流れでRustの特集が挿入されるのか全く知りません。たぶん単発記事として前後に関係なく載るのでしょう。 正直私はRustについてまだまだ初心者で、とても原稿を書けるような技術力の持ち主ではないのですが、C++をメインに使っている人間がRustに移行するために色々と試行錯誤した話だととらえて

                CQ出版のインターフェース6月号に「Rustで作る命令セット・シミュレータ」を寄稿しました - FPGA開発日記
              • LLVMの新しい中間言語表現 MLIRを試す(3. MLIRに関する発表資料を読む) - FPGA開発日記

                MLIRについてもう少し具体的な例を勉強するために、資料を読み込んでいくことにした。 以下の資料を参考にした。Chris Lattnerの所属がSiFiveに変わっているので、比較的最近の資料だ。 docs.google.com MLIRはMulti-Level Intermediate Representation Compiler Ifrastructureの略称である。 2004にLLVMを開発したときの想定構成。1つのIRを用意してすべてを解決する。マルチターゲット、解析、最適化など。 ところがこれだけでは上手く行かなくなった。LLVM-IRだけでなく複数の表現形式を使用する必要がある。現在のLLVM IRでは並列性やループなどの表現形式に問題がある。 Clangも同様。LLVM IRを出力するだけでなく、様々なアノテーションを出力する必要が生じたり、もはやC++の複雑な言語構文を

                  LLVMの新しい中間言語表現 MLIRを試す(3. MLIRに関する発表資料を読む) - FPGA開発日記
                • 阪大、FPGAの実装密度を12倍向上させる「ビアスイッチ」を開発

                    阪大、FPGAの実装密度を12倍向上させる「ビアスイッチ」を開発
                  • FPGAに機械学習モデルを実装する – その1:ランダムフォレストによるクラス分類 - GMOインターネットグループ グループ研究開発本部

                    こんにちは,次世代システム研究室のS.T.です。普段はHadoopネタを書いていますが,今回はテーマをがらっと変えて,FPGAネタです。 「FPGAに機械学習の推論部分を実装し高速に処理を行う」という技術は耳にしたことがありましたが,漠然としたイメージがあるだけで実際にどのように実装していくのかということは知りませんでした。調べてみると,高位合成を用いた手法(1)や,学術研究として開発されたアクセラレータとしてのアーキテクチャ(2)は存在するようですが,シンプルなサンプルコードの形で存在するものはないようです。 もちろん「ソフトウェアエンジニアやデータサイエンティストが作成したモデルを高位合成でFPGAに落とし込みアクセラレータとして使用する」というユースケースを考えれば納得がいきますし,応用できる範囲もHDLで直接実装するより広くなると思います。 しかし,「低コスト小規模なローエンドFP

                      FPGAに機械学習モデルを実装する – その1:ランダムフォレストによるクラス分類 - GMOインターネットグループ グループ研究開発本部
                    • 電気回路内の電磁ノイズの起源を大阪大学が解明、電磁ノイズレス回路設計が可能に

                      電気回路内の電磁ノイズの起源を大阪大学が解明、電磁ノイズレス回路設計が可能に 大学ジャーナルオンライン編集部 大阪大学の神野崇馬博士後期課程3年生らの研究グループは、電子・電気機器の誤動作や発熱の原因となる電磁ノイズ現象を定量化するための理論を考案し、その発生メカニズムを解明して、電磁ノイズが発生しない回路構造を理論的に導出することに成功した。 今回の研究では、電磁ノイズ現象の記述のために、電気回路を信号の往復路である2本の導線で表し、環境を1本の導線で表した3本線回路を使用。その結果、信号を表すノーマルモードと、電磁干渉を表すコモンモードの定式化が可能になった。さらに、3本線回路の入力や出力での接続関係を考慮し、各モードの振る舞いを表す方程式を導出。その結果、回路と環境の幾何学的な位置関係と、接続される素子との電気的な接続関係により、コモンモードがノーマルモードに変換され、電磁ノイズが発

                        電気回路内の電磁ノイズの起源を大阪大学が解明、電磁ノイズレス回路設計が可能に
                      • さくらインターネット

                        FPGA ベース・ハードウェアNTPサーバ(Stratum1)特設実験サイト 概要 さくらインターネットで開発した FPGA ベース・ハードウェア NTP サーバ(Stratum1)の情報公開を行っています。 さくらインターネットでは、2020 年 3 月 17 日から2021 年 3 月 31 日までの間、単体で約10ギガビット/秒(約 1300万 リクエスト/秒)の高負荷に耐え、Stratum 1 NTP サーバーとして働く専用デジタル回路を内製設計し、FPGA 上で動作させて提供する実験を実施しました。( プレスリリースページ ) 当ページでは当時の実験の雰囲気をお伝えするとともに、開発したFPGA-NTP サーバの技術情報を公開しております。 技術解説 当試験を通して示したいコンセプト 昨今のデータセンターサービス事業においては、既製品のハードウェア(サーバー及びネットワークスイッ

                        • 退職しました - Zopfcode

                          2年と2ヶ月勤めたGROOVE X株式会社を退職しました(正確には4月13日まで社員で、4月1日からは有給消化)。 理由 会社の業務とは別にやりたいことが次第に大きくなってきて、それが現在の会社の方向性とは違ってきたというのが理由です。もちろん社内での会話とか考察をしばらくやって、数ヶ月考えた上で決断しました。 やりたいことというのはやはり低レイヤーです。入社するときに思っていた「消費者に直接届くハードを作りたい」は目的ではなく手段というのを自覚し、前職のときから好きだった低レイヤーを改めて目的として捉えなおしたというか、そんな感じです。 LOVOTも2018年に比べればありえないくらい安定してますし、そもそもローンチしたし、ということで「後ろ髪を引かれつつ」とかはなく次のステップに突き進めそうな感じです。おおむね前職を出た時と同じような前向きな気持ちで出ていくのでまあ良いんじゃないでしょ

                            退職しました - Zopfcode
                          • FPGAを使ってみたい技術者や学生、一般企業に向けた無償のオンラインFPGA利用環境『ACRiルーム』を開設

                            FPGAを使ってみたい技術者や学生、一般企業に向けた無償のオンラインFPGA利用環境『ACRiルーム』を開設 FPGAの活用方法を模索、研究する団体であるアダプティブコンピューティング研究推進体-ACRi ( 所在地:東京都目黒区大岡山2丁目12-1, 以下ACRi (アクリ) *1 )は、2020年8月1日よりFPGA*2利用環境の無償提供の場として『ACRiルーム』を開設いたします。これはオンラインで無償利用できるFPGAの利用環境で、東京工業大学構内に設置された100枚を超えるFPGAボードと開発用ソフトウェアにリモートからアクセスして利用できるものです。 利用者は日本国内でFPGAに興味のあるすべての人が対象で、ACRiルームのウェブサイト*3から事前に利用予約をすることで『ACRiルーム』を使用できます。初年度(2021年7月末まで)では1,000人の利用を想定しています。 これ

                              FPGAを使ってみたい技術者や学生、一般企業に向けた無償のオンラインFPGA利用環境『ACRiルーム』を開設
                            • VHDL 100行で8bit CPUを設計してGHDLでエミュレーションする - Qiita

                              昔書いたにっき( https://mjt.hatenadiary.com/entry/20110125/p2 )で取り上げたMCPU( https://github.com/cpldcpu/MCPU/ )を拡張し、それなりの実用性を確保したい話。 作成したものはGitHubに置いてある https://github.com/okuoku/nanocpu 。 できたCPU 出来たCPU の諸元を簡単に要約すると、 64マクロセルCPLDに収まるギリギリのデザイン 割り込み機能なし ALU命令は 4命令のみ (ADD / NOR / Store / Jump if Clear Carry + carry clear) 8bit データ、ALU 14bit アドレスバス (8bitセグメント、6bit直接アドレス) 非同期SRAMメモリインターフェース を持つ。このCPUは64マクロセルのXil

                                VHDL 100行で8bit CPUを設計してGHDLでエミュレーションする - Qiita
                              • Nanoloopを搭載、曲づくりもできる携帯型ゲーム機、「Analogue Pocket」の予約販売が8月3日に開始

                                Analogue「Analogue Pocket」 昨年10月にアナウンスされた「Analogue Pocket」は、ゲームボーイをはじめとする複数のゲーム機用タイトルに対応した、まったく新しい携帯型ゲーム機。2,780タイトル以上のゲームボーイ/ゲームボーイカラー/ゲームボーイアドバンス用カートリッジをそのまま使用できるほか、別売のアダプターを装着すれば、ゲームギア/ネオジオポケットカラー/Atari Lynx用カートリッジにも対応。Analogueは「Analogue Pocket」について、“携帯ゲームへの究極のトリビュート”と謳っています。 teenage engineering pocket operatorと「Analogue Pocket」 「Analogue Pocket」の大きな特徴と言えるのが、往年のゲームを高解像度表示で楽しめる点で、ディスプレイには3.5インチ/1,

                                  Nanoloopを搭載、曲づくりもできる携帯型ゲーム機、「Analogue Pocket」の予約販売が8月3日に開始
                                • AMD、FPGA大手のXilinx買収を正式発表。データセンター向けプロセッサ分野で圧倒的なインテルを本格的に追撃

                                  AMD、FPGA大手のXilinx買収を正式発表。データセンター向けプロセッサ分野で圧倒的なインテルを本格的に追撃 米アドバンスト・マイクロ・デバイス(AMD)は、FPGA最大手とされるザイリンクス(Xilinx)の買収を発表しました。この買収によりAMDはデータセンター向けプロセッサを強化していくと説明しています。 AMD and @XilinxInc announce an agreement for AMD to acquire Xilinx—expanding our rapidly growing data center business and creating the industry’s leading high performance computing company. — AMD (@AMD) October 27, 2020 Xilinxは、フィールドプログラマブル

                                    AMD、FPGA大手のXilinx買収を正式発表。データセンター向けプロセッサ分野で圧倒的なインテルを本格的に追撃
                                  • 高位合成でFPGA開発!最短 1日で映像リサイズ機能を実装する - aptpod Tech Blog

                                    aptpodでは複数のカメラをフレーム単位で同期させて映像を取得できるカメラデバイスの開発を行なっています。前日の記事では、このカメラデバイスのエンコードを担当するSoCの話でしたが、aptpod Advent Calendar 2019 13日目の今回は映像のフロントエンドに使用しているFPGAについての話題です。 カメラデバイスを開発する上で、FPGAでイメージセンサから取得した画像データをリサイズする機能を実装する必要が出てきたのですが、RTL設計経験のない私でも流行りの高位合成でサクッと実装できた話をまとめます。 前日に続き塩出が担当します。 話の流れ まずは高位合成の説明 高位合成での実装手順 アルゴリズムのC++ソース記述方法 C++でのテストベンチ記述方法 シミュレーション結果の確認 まとめ 高位合成とは? 高位合成の詳しい話は色々記事が出ておりますので、そちらを参照してくだ

                                      高位合成でFPGA開発!最短 1日で映像リサイズ機能を実装する - aptpod Tech Blog
                                    • 【アップデート速報】「Instance Types」機能が追加され、EC2のインスタンスタイプの比較が楽にできるようになりました! | DevelopersIO

                                      人肌もとい猫肌が恋しい今日この頃です。 ▲ 猫ちゃんが寄ってくる季節になりましたね 寒いと布団の事しか考えられなくなるようです。こんにちは、AWS事業本部のShirotaです。 最近の寒さに、全てが布団の範囲で片付けば良いのになぁとぼやいてしまいます。 そんな不自由さを抱えた私とは対照的に、AWSはどんどん便利かつ新しいサービスや機能をアップデートしているようです。 今日は、そんなアップデートの一つを紹介させて頂こうと思います! EC2のインスタンスタイプの比較に使える機能が追加されました 2019年11月22日、AWSは以下のアップデートを発表しました。 Amazon EC2 makes it easier for customers to discover and compare EC2 instance types 一般のAWSユーザーが利用できる全てのリージョンで、インスタンスタイ

                                        【アップデート速報】「Instance Types」機能が追加され、EC2のインスタンスタイプの比較が楽にできるようになりました! | DevelopersIO
                                      • AMDが「CPUとFPGAのハイブリッドプロセッサ」の特許を出願

                                        AMDが、購入者が自分で回路構成を変更できる集積回路「FPGA」とCPUを統合したプロセッサの特許を出願しました。AMDはFPGAを発明したザイリンクスを2020年10月に買収しており、今後はFPGAを用いたAI技術に比重を置く可能性が高いとみられています。 METHOD AND APPARATUS FOR EFFICIENT PROGRAMMABLE INSTRUCTIONS IN COMPUTER SYSTEMS - Advanced Micro Devices, Inc. https://www.freepatentsonline.com/y2020/0409707.html AMD Patent Reveals Hybrid CPU-FPGA Design That Could Be Enabled By Xilinx Tech | HotHardware https://hoth

                                          AMDが「CPUとFPGAのハイブリッドプロセッサ」の特許を出願
                                        • RISC-Vの進化を牽引するEsperantoの挑戦。シンプルなアイデアと実装の困難さ―Dave Ditzelへのインタビュー | gihyo.jp

                                          RISC-Vの進化を牽引するEsperantoの挑戦。シンプルなアイデアと実装の困難さ―Dave Ditzelへのインタビュー 2022年8月、2年半ぶりに渡米した際にAIアクセラレータを開発する企業を2つ訪問しました。1つは前回記事のCerebras Systems、そしてもう1つがEsperanto Technologiesです(写真1⁠)⁠。 写真1 オフィスのあるビル。カリフォルニアの青空がよく合います Esperanto社はRISC-Vを牽引してきた企業の1つです。そのFounderであるDave Ditzel氏は、1980年にDavid PattersonがRISCのアイデアを提示した有名な論文[1]の共著者であり、長くプロセッサ業界の第一線を走り続けているエンジニアです。筆者がDaveに初めて取材したのは2004年でしたが、それ以来[2]、何年かおきにDaveと会い、そのとき

                                            RISC-Vの進化を牽引するEsperantoの挑戦。シンプルなアイデアと実装の困難さ―Dave Ditzelへのインタビュー | gihyo.jp
                                          • FPGAを使ったカスタムGPU「FuryGPU」。90年半ばハイエンドカードと同等の性能

                                              FPGAを使ったカスタムGPU「FuryGPU」。90年半ばハイエンドカードと同等の性能
                                            • 【福田昭のセミコン業界最前線】 パワーデバイスで健闘する日本の半導体企業

                                                【福田昭のセミコン業界最前線】 パワーデバイスで健闘する日本の半導体企業
                                              • RISC-V内蔵FPGAを搭載した小型シングルボードコンピュータ

                                                  RISC-V内蔵FPGAを搭載した小型シングルボードコンピュータ
                                                • ルネサス、ローエンドFPGA市場に参入、小規模、低電力、低価格製品を投入 | Renesas

                                                  会社案内 arrow_right プレスルーム arrow_right ニュース arrow_right ルネサス、ローエンドFPGA市場に参入、小規模、低電力、低価格製品を投入 ルネサス エレクトロニクス株式会社(代表取締役社長兼CEO:柴田 英利、以下ルネサス)は、このたび、ローエンドのフィールドプログラマブルゲートアレイ(FPGA)市場に参入し、超低消費電力かつ低価格な新FPGA「ForgeFPGA™(フォージエフピージーエー)」ファミリを発表します。従来のFPGAがカバーしきれていないコストに敏感なアプリケーションに向けて、小規模なプログラマブルロジックを迅速かつ効率的に設計できるようにします。ForgeFPGAファミリは、FPGAや他のデバイスと比較して、大幅にコストを削減できます。小型化することによりボードおよびシステム全体のコストを削減可能なほか、大量生産されるコスト制約が厳

                                                  • Intel、1,020万ロジックを備えた世界最大のFPGA

                                                      Intel、1,020万ロジックを備えた世界最大のFPGA
                                                    • FPGA で 8088 (D8088-2) を動かして自作PCをやる - w_o’s diary

                                                      これまでのあらすじ : https://twitter.com/tanakmura/status/1280152564898557952 FPGAでHello World以上のものが書きたいという気持ちは前からあったので、この機会に少し実用的はHDLを書くことにした。 なにをやっているかの解説を書いておこう。 ソースは、 https://github.com/tanakamura/jisaku_pc_8088 ここにある(上のツイートでは、タイミング問題があってLED点灯しないことがあると書いてるが、これは多分改善してあるはず。) こういう昔のCPUは、CPU側の命令実行とバスが直結していて、ポインタ0x80 を読むと、CPUの足に、そのまま0x80が出てくる。それを正しくハンドリングして、有効な命令バイト列を返せば、8088を動かすことが可能だ。 この実装では、この足から出てくる信号をデ

                                                        FPGA で 8088 (D8088-2) を動かして自作PCをやる - w_o’s diary
                                                      • 【速報】エレコムが「量子コンピュータボード」を公開 汎用PCで量子アニーリングやイジングマシンを実現する拡張FPGAボード - ロボスタ ロボスタ - ロボット情報WEBマガジン

                                                        エレコム株式会社は従来のパソコンにPCI拡張ボードとして装着し、量子アニーリングに特化した機能を発揮する「量子FPGAボード」を公開した。公開したのは開発中のもので、2021年末に試作デモ機が完成予定としている。 現在のPCでは、長時間かかったり、計算が求められないような膨大な「組合せ最適化」問題に対して、高速に処理して回答が得られる「量子アニーリング技術」が、将来は手軽に導入できるようになることが期待できる。「組合せ最適化」問題は金融や保険分野のほか、従業員のシフト作成業務などでも活用が待たれている。 エレコムが公開したのは、東京ビッグサイト青海展示棟で開催中の「第1回 量子コンピューティングEXPO【春】」の同社ブース内。開発しているのはエレコムグループのなかでも先端技術の開発を行っているエレコム子会社のディー・クルー・テクノロジーズ(D-CLUE)だ。 業務用プリンター向けの高速転送

                                                          【速報】エレコムが「量子コンピュータボード」を公開 汎用PCで量子アニーリングやイジングマシンを実現する拡張FPGAボード - ロボスタ ロボスタ - ロボット情報WEBマガジン
                                                        • 早期からRISC-Vの開発に着手した中国企業 RISC-Vプロセッサー遍歴 (1/3)

                                                          1ヵ月ほど間が空いてしまったが、連載748回の続きとなる。ただ、来週からはCESで発表された製品を取り上げるので、また少し間が空く。 SiFiveがリリースしたRISC-VベースのIP「E31」を 複数のメーカーが採用する 米国ではRISC-Vを実装したIPと、そのIPを利用したシリコンが2017年頃から次第に登場し始めた。SiFiveは先行者利益をフルに享受したベンダーの一社であり、実際同社が最初にリリースしたE31はいろいろなメーカーに採用された。 1つの例は(2018年にMicrochipに買収された)Microsemiである。Microsemiはまず自社のFPGAにE31を移植、自社のFPGAファブリック上でE31が利用できるようにした。ほかにもE31はいくつものベンダーにライセンスされており、この成功もあってRISC-VのIPベンダーとしての地位を確立した格好だ。 そのほかのベン

                                                            早期からRISC-Vの開発に着手した中国企業 RISC-Vプロセッサー遍歴 (1/3)
                                                          • 単一コードでGPUやFPGAなど多様なプロセッサへの最適化を目指す「Unified Acceleration (UXL) Foundation」、Linux Foundation傘下で設立

                                                            Linux Foundationは、単一のソースコードでCPUやGPU、FPGAなど、マシンに搭載されているさまざまなプロセッサ(アクセラレータ)に最適化されたネイティブバイナリの生成を実現する団体「 Unified Acceleration (UXL) Foundation」の設立を発表しました。 We are excited to announce the launch of the UXL Foundation, a cross-industry group committed to delivering an open standard accelerator programming model that simplifies development of performant, cross-platform applications. Learn more: https://t.

                                                              単一コードでGPUやFPGAなど多様なプロセッサへの最適化を目指す「Unified Acceleration (UXL) Foundation」、Linux Foundation傘下で設立
                                                            • ゲーム用途でのFPGAとソフトウェアエミュレーションのごく簡単な比較 – とあるゲーマーのweblog Drei

                                                              掲題の件について2022年末に思ったことをオンラインメモしておく。 まずはAnalogueの互換機やMiSTer FPGA愛好者でFPGAベースの機器は常にソフトウェアエミュレータを使用している場合より上だと勘違いしているのかなって感じる人をちょい見かけるので書いたTweet(最下段)を。 そもそも論だと低ラグであることが求められるSTG/ACT以外のジャンルはFPGAにするメリットよりも広く広まっているソルトウェアエミュレーションを使うことのメリットの方が以下略で、風呂上がりの戯言は終了 — MDBBSの残党 (@MDBBSRemnants) October 31, 2022 実際には一長一短だしむしろ歴史の長いソフトウェアエミュレーションの方が現状強い場面は多いよなと(そしてtypoしているアレ)。 ぱっと思いつくだけでも現状ソフトウェアエミュレーションの方がこの辺アドバンテージがある

                                                              • Intel、次世代Xeon「Cooper Lake」で深層学習向け新命令Bfloat16をデモ ~NNPはNVIDIA GPUよりスケーリングや密度が強みとアピール

                                                                  Intel、次世代Xeon「Cooper Lake」で深層学習向け新命令Bfloat16をデモ ~NNPはNVIDIA GPUよりスケーリングや密度が強みとアピール
                                                                • オープンソースRISC-VコアHummingBirdについて調査(1. ダウンロードとビルド) - FPGA開発日記

                                                                  RISC-Vの実装であるHummingBirdを調査していくことにした。 HummingBirdの概要 HummingBirdは中国の大学で開発されたRISC-Vコアで、正式にはE203という名前が付けられている。GitHubのページを参照すると、このHummingBirdを開発した目的はRISC-Vの人気を向上させ、中国でのIoT設計におけるRISC-Vの普及を目指すために開発したと書いてある。 いくつかのアーキテクチャとの違い これはHummingBirdの解説本(中国語)から引用してみる。 ARM Cortex-M0 ARM Cortex-M0+ ARM Cortex-M3 E201 E203 E205 Dhrystone(DMIPS/MHz) 0.84(標準) / 1.21(最適化時) 0.94 / 1.31 1.25 1.171 1.23 1.355 Coremark (Core

                                                                    オープンソースRISC-VコアHummingBirdについて調査(1. ダウンロードとビルド) - FPGA開発日記
                                                                  • LUT-Networkの蒸留とMobileNet風構成とセマンティックセグメンテーション - Ryuzのブログ

                                                                    はじめに 従来のパーセプトロンモデルを使った学習ではなく、回路そのものを微分してFPGAを直接学習してしまおうという当サイトオリジナルのディープラーニングLUT-Networkですが、ここのところ深いネットを学習させるために蒸留(Knowledge Distillation)に取り組んでいました。 その一つの成果として、MNISTデータを使ったセマンティックセグメンテーション(もどき)を試してみたのでブログに記録しておきます。 まずは先に結果 まず先に最新の結果を記載いたします。MNISTベースの画像を入力して、それぞれの数字領域を色塗りするセマンティックセグメンテーション(もどき)を学習させてみました。 入力画像 出力画像 上記の入力画像をもとに Verilog のRTLシミュレーションで得た結果画像が以下です。 FPGAリソース 下記が実際にRTLを合成した場合のリソース量です。DNN

                                                                      LUT-Networkの蒸留とMobileNet風構成とセマンティックセグメンテーション - Ryuzのブログ
                                                                    • Rust: A Critical Retrospective « bunnie's blog

                                                                      Since I was unable to travel for a couple of years during the pandemic, I decided to take my new-found time and really lean into Rust. After writing over 100k lines of Rust code, I think I am starting to get a feel for the language and like every cranky engineer I have developed opinions and because this is the Internet I’m going to share them. The reason I learned Rust was to flesh out parts of t

                                                                      • Google Cloud、インテルと共同開発したASIC「Infrastructure Processing Unit(IPU)」採用を発表。FPGAベースのSoCでサーバ本体の処理をオフロード

                                                                        Google Cloud、インテルと共同開発したASIC「Infrastructure Processing Unit(IPU)」採用を発表。FPGAベースのSoCでサーバ本体の処理をオフロード Google Cloudとインテルは、両社が共同開発したクラウド基盤用のASIC「Intel Infrastructure Processing Unit」(Intel IPU)のGoogle Cloudでの採用を明らかにしました。 Data center infrastructure that is more secure, flexible and performant.@GoogleCloud C3 VM is first to feature custom Intel IPUs and 4th Gen Intel #XeonScalable processors in private pr

                                                                          Google Cloud、インテルと共同開発したASIC「Infrastructure Processing Unit(IPU)」採用を発表。FPGAベースのSoCでサーバ本体の処理をオフロード
                                                                        • 肥満対策と心疾患リスクを下げる「ビール」の力とは?

                                                                          「ビール」のメタ分析研究とは? 今回ご紹介する「ビール」のメタ分析研究は、2015年に発表された「Effects of moderate beer consumption on health and disease: A consensus document」です。 「メタ分析」とは、これまでの研究データを集めて、再度、それらのデータを再考して、研究結果を分析し、まとめ上げたものと考えてください。 今回のメタ分析では、「ビール」に含まれる成分を明らかにして、「ビール」を適量(女性の場合:1杯、男性の場合:2杯)に摂取した場合と過剰にビールを摂取した場合に分けて、その体への影響を発表しています。 ビールに含まれている一般成分 当研究では、ビールに含まれている成分を明らかにして、その中でも、他のアルコール飲料と比べて、特にビールに含まれている成分に焦点をあてて、1〜2杯のビールを飲むことでの体

                                                                            肥満対策と心疾患リスクを下げる「ビール」の力とは?
                                                                          • 【後藤弘茂のWeekly海外ニュース】 AIアクセラレータコアをFPGAに組み込んだXilinxの新カテゴリ「Versal」

                                                                              【後藤弘茂のWeekly海外ニュース】 AIアクセラレータコアをFPGAに組み込んだXilinxの新カテゴリ「Versal」
                                                                            • Xilinx、FPGAをAI用アクセラレータにする統合ソフトウェア環境「Vitis」 ~10月末より無償提供

                                                                                Xilinx、FPGAをAI用アクセラレータにする統合ソフトウェア環境「Vitis」 ~10月末より無償提供
                                                                              • Intel、AI処理などを高速化できるFPGAアクセラレータカード

                                                                                  Intel、AI処理などを高速化できるFPGAアクセラレータカード
                                                                                • Intel、PCIe 4.0/Optaneメモリに対応したFPGA「Stratix 10 DX」

                                                                                    Intel、PCIe 4.0/Optaneメモリに対応したFPGA「Stratix 10 DX」

                                                                                  新着記事