タグ

ブックマーク / gazlog.jp (39)

  • Samsungが990 Proの寿命短縮バグを修正。一方で縮まった寿命は戻らず。

    PCIe Gen 4に対応するNVMe SSDの中で最も高性能なNVMe SSDとして登場したSamsung 990 Proですが、SSDの寿命が2TBの書き込みで寿命が64%にまで縮まるなどSSD寿命について問題が指摘されていましたが、Samsungがこの寿命問題を解決するファームウェアをリリースしました。しかし、縮まった寿命は戻せないようです。 2TBの書き込みで寿命が64%にまで縮む不具合原因はファームウェアだった模様。ファームウェア最新化で寿命短縮問題は解決可能なものの、縮んだ寿命は戻せず。 Samsung製NVMe SSDの中でハイエンドモデルであるSamsung 990 Proについてはここ最近、SSDの寿命を表す指標が急速に悪化するという報告がされてきました。この報告では、使用数週間で寿命が80%台にまで低下したり、2TBの書き込みで寿命が64%にまで低下したりと1000TB

    Samsungが990 Proの寿命短縮バグを修正。一方で縮まった寿命は戻らず。
    repunit
    repunit 2023/02/15
  • IntelがAMDに対して自己破壊的行為に出ている模様。収益度外視でシェア重視

    2023年の世界景気は下降傾向にあり、コンシューマー向けPCなどもその影響を大きく受けている状況ですが、IntelはAMDに対してコンシューマー向けCPUシェアを取られないために収益度外視の行動に躍り出ている可能性が指摘されているようです。 Intelの生命線であるコンシューマー向けCPU市場。AMDからシェアを守るために収益度外視? Intel Engaging in ‘Semi-Destructive’ Actions Against AMD, Says Firm | Tom’s Hardware 2023年はアメリカをはじめ世界各国での利上げに伴い景気後退傾向に陥る事が予測されており、実際に海外の大手企業ではレイオフ(リストラ)などが行われ始めています。また、この傾向は企業だけではなく個人の消費行動にも及んでおり、コンシューマー向けPCの販売台数などは2022年末にかけて大きく下落し

    IntelがAMDに対して自己破壊的行為に出ている模様。収益度外視でシェア重視
    repunit
    repunit 2023/01/27
  • ASRockがAMD B650からX670へ進化するマザーボードを発表。チップセットを拡張カードで追加する変態仕様に

    ASRockがAMDのミドルレンジマザーボードB650をハイエンドのX670化できる変態マザーボードを発表。X670の特徴を逆手に取る。 ASRock expansion card could turn AMD B650 motherboard into X670 | Videocardz ASRockというとDDR4とDDR3を混在して使用が可能なマザーボードなど革新的(変態)マザーボードを作るという印象がありますが、その期待を裏切らないAMD Ryzen 7000シリーズ対応の変態マザーボードが発表されました。 ASRockが発表したマザーボードはRyzen 7000シリーズに対応するミドルレンジ向けマザーボード、B650 LiveMixerの特別バージョンとなっています。 通常のB650マザーボードではPromontory21と呼ばれるチップセットを1基搭載することでPCIe Gen

    ASRockがAMD B650からX670へ進化するマザーボードを発表。チップセットを拡張カードで追加する変態仕様に
    repunit
    repunit 2023/01/24
  • MSIがGeForce RTX 4090を発売1週間で値上げ。露骨なレビュー対策で炎上中

    NVIDIAではGeForce RTX 4000シリーズ最上位モデルとなるGeForce RTX 4090を2022年10月12日に発売し、多くのAIB製モデルも出揃いましたが、この中でMSI製のRTX 4090最上位モデル、SUPRIM Liquid Xが発売からたった1週間で値上げに踏み切ったようで非難に晒されているようです。 GeForce RTX 4090の中でコスパ良好だったMSIのSUPRIM Liquid X NVIDIAがGeForce RTX 4090を2022年10月12日に発売され、CUDAコアは16384コアで動作クロックはベースが2235 MHz、ブースト時が2520 MHz、VRMは21.2Gbpsで動作するGDDR6Xが24GB、バス幅384-bitという仕様から非常に高いパフォーマンスを発揮するモデルになっています。 しかし、高いパフォーマンスを発揮する分、

    MSIがGeForce RTX 4090を発売1週間で値上げ。露骨なレビュー対策で炎上中
    repunit
    repunit 2022/10/21
  • 第13世代CPU、Raptor Lake-Sのラインアップ一覧が出現。Core i5-13400はコア数増に

    Core i5-13400はE-Coreが搭載に。一方でPentiumやCeleronなどローエンドモデルは無い可能性 Full Intel 13th Gen Raptor Lake Desktop CPU Lineup Leaks Out, Core i9-13900K Flagship With 24 Cores & 32 Threads (wccftech.com) 中国Bilibili上に第13世代CPUであるRaptor Lake-Sのラインアップ情報が出現したようです。IntelではRaptor Lakeについて、2022年中にはK/KFバリアントであるCore i9-13900K/KF、Core i7-13700K/KF、Core i5-13600K/KFの合計6モデルを投入する予定です。そのあと2023年にCore i9やCore i7の無印モデルのほか、Core i5や

    第13世代CPU、Raptor Lake-Sのラインアップ一覧が出現。Core i5-13400はコア数増に
    repunit
    repunit 2022/08/21
  • M.2 SSD温度を最大50%低下できるクーラーが出現。見た目はCPUクーラー

    近年、NVMe SSDは高速化している一方で発熱が大きくなっているため適切な冷却が性能維持のカギとなっていますが、見た目が完全にCPUクーラーで非常に高い性能の高いNVMe SSDクーラーが登場しました。 PCIe Gen 5.0対応で爆熱化なNVMe SSD NVMe SSDは近年高速化が著しく、PCIe Gen 4.0では読み書き共に約7GB/sとなっており2022年秋以降に登場すると見られるPCIe Gen 5.0においては読み書き共に14GB/sという転送速度にも達すると見られています。 しかし、これだけ高速な転送速度を実現するには、メモリーコントローラー側の性能を大きく向上させる必要があり、メモリーコントローラー大手のPHISONによるとコントローラー側のTDPは14Wにも及びタブレットPCに搭載されているCPU並の発熱量になるとのことです。 関連記事:PCIe Gen5対応NV

    M.2 SSD温度を最大50%低下できるクーラーが出現。見た目はCPUクーラー
    repunit
    repunit 2022/07/07
  • Intelの無効化問題やAMD初対応で話題の『AVX-512』について簡単に解説

    最近、Intelが発売したAlder Lake-Sにて後出しで無効化されたり、AMDが初めて対応する事で話題になっている『AVX-512』ですが、そもそも一体何なのか、何に使うものかのかを簡単に解説します。 AVX-512とは? ここではAVX-512について解説するものの、あくまでPCパーツの一つの用語やユーザーにどのようなベネフィットがあるかと言う観点で紹介します。そのため、複雑なプログラミングの仕組みなどディープな所までは触れません。 AVX-512とはIntelが提唱した命令セットの一つで、2013年にIntelが投入したXeon Phi x200と呼ばれる並列コンピューティング用のアーキテクチャーで初めて採用され、コンシューマー向けには2017年に発売されたSkylake-Xで採用がされています。 AVX-512の『AVX』とはAdvanced Vector Extensions

    Intelの無効化問題やAMD初対応で話題の『AVX-512』について簡単に解説
    repunit
    repunit 2022/07/04
  • AMD Radeon RX 6500 XTがx4接続や動画支援機能が無い理由が明らかに

    発売前のレビューであまり良い評判を得てないAMD Radeon RX 6500 XTですが、搭載されているNavi 24 GPUは元々デスクトップ向けGPUとして発売がされる計画では無く、Rembrandt APUを搭載するラップトップと組み合わせて使われる予定だったようです。 前評判が良くないRadeon RX 6500 XT AMD Navi 24 GPU For Radeon RX 6500 XT Was Primarily Designed For Laptops With PCIe Gen 4 Support (wccftech.com) AMDから発売がされるエントリー向けグラフィックスカードであるRadeon RX 6500 XTですが、1月19日から掲載が開始されたレビューの評価はどれも微妙な結果となっています。 特にパフォーマンス面では4GBのGDDR6と言う点が足を引っ

    AMD Radeon RX 6500 XTがx4接続や動画支援機能が無い理由が明らかに
  • AMD Radeon RX 6400が海外で発売中。価格はGTX 1050 Tiより安く2万円切りの可能性も

    急遽コンシューマー向けにも発売となったRadeon RX 6400 AMD’s 53W entry-level Radeon RX 6400 card quietly goes on sale, slightly cheaper than GTX 1050 Ti and RX 6500 XT – VideoCardz.com AMDではコンシューマー向けのエントリーモデルとしてRadeon RX 6500 XTを発売し、同時にRX 6500 XTに対して性能を下げたRadeon RX 6400をOEM向けとして投入する事を予定していました。しかし、AMDでは急遽この方針を転換し、Radeon RX 6400もコンシューマー向けに発売する見込みであることが判明していますが、momomo_us氏によってアルゼンチンおよび中国のオンラインショップにてRadeon RX 6400が発売されている事

    AMD Radeon RX 6400が海外で発売中。価格はGTX 1050 Tiより安く2万円切りの可能性も
    repunit
    repunit 2022/04/05
  • AMD Radeon RX 6300が登場予定。Radeon RX 6400は一般向けにも発売へ

    Radeon RX 6400とRadeon RX 6300 AMD Is Rumored To Be Launching A Radeon RX 6300 Entry-Level Graphics Card For OEM Use (wccftech.com) AMDではエントリー向けのRadeon RX 6500 XTをコンシューマー向けに発売をしましたが、その際にOEM向けとしてRadeon RX 6400も発表しました。 Radeon RX 6400はRadeon RX 6500 XTの下位バージョンに位置付けられており、GPUにはRadeon RX 6500 XTで採用されているNavi 24が搭載されていますが、Compute Unitは16基から12基、Streming Processorsは1024基から768基に減らされています。一方で性能を抑えた事で消費電力は53Wに収ま

    AMD Radeon RX 6300が登場予定。Radeon RX 6400は一般向けにも発売へ
    repunit
    repunit 2022/04/05
  • AMD Zen4 Ryzen 7000シリーズは10月までに発売へ。量産開始は5月初旬までに。

    デスクトップ向けRyzen 7000シリーズ『Raphael』が4月から5月初旬に量産開始へ Greymon55氏は5月末に開催されるCOMPUTEX 2022にてAMDはZen4アーキテクチャーの詳細情報の他に、Zen4を搭載するRyzen 7000シリーズの発売日も含めて発表するという情報を出しており、発表から発売までのスパンを考えると7月から8月の発売が有力視されていました。 AMD Zen4 Ryzen 7000シリーズの発売が遅れる可能性。生産開始がまだの模様(2022/3/8) しかし、3月に入ってもRyzen 7000シリーズが量産体制に入ったという情報が無く、量産開始から発売日のリードタイムを考えると8月までの発売は困難で発売が延期されるのではと言うリークを流していました。 そんなGreymon55氏ですが、どうやらRyzen 7000シリーズが4月から5月初旬にかけて量産

    AMD Zen4 Ryzen 7000シリーズは10月までに発売へ。量産開始は5月初旬までに。
    repunit
    repunit 2022/04/02
  • AMD Ryzen 7 5700Xのベンチマーク出現。Core i5-12600Kに対して優位性示せず

    ミドルレンジモデル、Ryzen 7 5700X AMD Ryzen 7 5700X 8 Core CPU To Offer Same Performance As Ryzen 7 5800X For $150 US Less (wccftech.com) AMDでは2020年末頃にZen 3アーキテクチャーを採用したRyzen 5000シリーズを発表、発売を行いましたが当初のラインアップは最低でも4万円近くするミドルレンジモデル以上の製品のみの登場となっていました。しかし、Intelは2022年初旬より第12世代CPUであるAlder Lake-Sを8000円台のエントリーモデルからラインアップを行った事から、これらに対抗して2022年4月からエントリーからアッパーミドルまでの合計10モデルを追加する予定になっています。 今回、この10モデルの中で4月4日に発売が予定されているミドルレンジ

    AMD Ryzen 7 5700Xのベンチマーク出現。Core i5-12600Kに対して優位性示せず
    repunit
    repunit 2022/04/01
  • AMD Zen4 Ryzen 7000シリーズの発売が遅れる可能性。生産開始がまだの模様

    Zen4 CPUの発売時期が流動的な状況? AMDでは2022年1月に開催されたCES2022にて5nmプロセスを採用したZen4アーキテクチャーを搭載のRyzen 7000シリーズを2022年後半に発売する事を発表しました。 AMD Zen4搭載 Ryzen 7000シリーズの登場は夏ごろ?AM5マザーは今月から生産開始へ その後、リーク情報でCOMPUTEX 2022にてこのZen4 Ryzen 7000シリーズは正式に発表され、夏頃の発売であることが発表されると見られていましたがどうやら最新の情報によるとこの発売時期が危ぶまれているようです。

    AMD Zen4 Ryzen 7000シリーズの発売が遅れる可能性。生産開始がまだの模様
    repunit
    repunit 2022/03/14
  • AMDからRyzen 5000シリーズの廉価版が3月末登場。Core i3-12100並みの価格

    AMDではRyzen 5000シリーズを2020年末頃から発売しています。ただ、当初からミドルレンジ以上の製品しかありませんでしたが、Intelが第12世代CPU Alder Lake-Sにてエントリーからハイエンドまで揃えた事に対抗するため、AMDでもRyzen 5000シリーズの廉価版を間もなく投入するようです。 高価格帯のみだったRyzen 5000シリーズ AMD’s new arrivals this month are more than 58x3d – Computer Discussion – Chiphell – Share and Communicate User Experience AMDでは2020年末頃にZen3アーキテクチャーを採用したRyzen 5000シリーズを発表、発売を行いましたが当初のラインアップは最低でも4万円近くするミドルレンジモデルのRyzen

    AMDからRyzen 5000シリーズの廉価版が3月末登場。Core i3-12100並みの価格
    repunit
    repunit 2022/03/07
  • GPUの販売価格は右肩下がりで下落。一方でAMDとNVIDIAは定価販売を演出

    GPUの販売価格は2021年から長らく定価の2倍付近で売られる事がデフォルトとなっていましたが、2022年1月から販売価格は下落方向に向かい始めています。この動きは2月中旬になっても継続しておりもしかしたら正常化に向かうかもしれませんが、AMD、NVIDIA共に定価販売を演出している可能性もありそうです。 2022年はGPU価格正常化に向かうのか? AMD Radeon & NVIDIA GeForce Graphics Card Prices Improve Significantly Along With GPU Availability In 2022 (wccftech.com) GPUの販売価格については2021年は定価の最大3倍にまで膨れ上がるなど混乱に満ちていました。サプライチェーンの破綻の尾を引いて半導体不足に陥り、同時に仮想通貨Ethereumの価格高騰とそれに応じてブー

    GPUの販売価格は右肩下がりで下落。一方でAMDとNVIDIAは定価販売を演出
    repunit
    repunit 2022/02/16
  • AMDのRyzenシリーズCPUがマイニングの餌食になる可能性

    RyzenシリーズではIntel製CPUに比べてL3キャッシュ容量が大きいですが、このL3キャッシュ容量を活かしたマイニングを行う仮想通貨、Raptoreumが一部マイナーの間で人気が出始めているようです。 CPUでマイニングを行うRaptoreum New Raptoreum Crypto Mining Algorithm Turns AMD Ryzen CPUs With Huge L3 Caches In To Money Making Machines (wccftech.com) CPUでマイニングを行う仮想通貨と言うとMoneroやVerusCoinなどがありますが、最近Raptoreumと呼ばれる仮想通貨が2021年初旬に登場しました。このRaptoreumはグラフィックカードなど様々なハードウェアでマイニングが行えるProof of Work型の仮想通貨ですが、特にこのRa

    AMDのRyzenシリーズCPUがマイニングの餌食になる可能性
    repunit
    repunit 2021/11/13
  • GPUの価格高騰が鮮明に。Radeonは定価の2倍、GeForceは約1.9倍に

    グラフィックカードの販売価格が定価の2倍に高騰・・・ Hardware- und Nachrichten-Links des 30./31. Oktober 2021 | 3DCenter.org 欧州域でのグラフィックカードの販売価格を毎月2回ほど調査している3D Centerによるとグラフィックカードの販売価格が7月以降右肩上がりに上昇しており、AMDのRadeon系グラフィックカードについては販売価格が定価の2倍を記録する水準にまで上昇している事が明らかになりました。 Graphics Card Prices 🇩🇪🇦🇹 Oct 31, 2021 Availability dropped a bit, prices make another jump up. 👉 RDNA 2 now 101% over MSRP (+18pp), some cards near their

    GPUの価格高騰が鮮明に。Radeonは定価の2倍、GeForceは約1.9倍に
    repunit
    repunit 2021/11/03
  • NVIDIA A100ベースのマイニングGPU『CMP 170HX』が出現

    2021年3月頃にNVIDIAがデータセンター向けに販売しているGPUであるNVIDIA A100をベースとしたCMPシリーズ(マイニングGPU)が登場すると言われていましたが、その筐体写真や仕様情報などが出現しました。 CMP HXシリーズの概要 NVIDIA CMP 170HX cryptomining card spotted, passive design offering 164 MH/s hash rate – VideoCardz.com CMPシリーズはNVIDIAがリリースしているマイニング専用GPUで、下からCMP 30HX、CMP 40HX、CMP 50HX、CMP 90HXの4モデルがラインアップされています。この末尾のHXの前に付く数字はハッシュレートを示しておりCMP 50HXであれば50MH/s前後のマイニング性能を持つ事になります。 そんな、CMPシリーズで

    NVIDIA A100ベースのマイニングGPU『CMP 170HX』が出現
    repunit
    repunit 2021/10/03
  • AMDがZen 4 EPYC『Genoa』にHBM採用を検討している模様

    Intelでは2022年発売予定のサーバー向けCPU『Sapphire Rapids』XeonでHBMを搭載するモデルが準備されていますが、AMDではこれに対抗してHBMをEPYC Genoaに採用する事を検討しているようです。 Sapphire Rapidsに対抗するために? Intel is working on an HBM version of Sapphire Rapids, AMD would do the same for Zen 4 Intelでは、2022年後半を目途にHBMを搭載したSapphire Rapids Xeonの発売を予定しています。 このHBM搭載Sapphire Rapids(SPR-HBM)はすべてのエンタープライズ及びサーバーベンダーなどに提供される予定となっていますが、どうやらAMD2023年初旬に発売が予定されているZen 4アーキテクチャを採

    AMDがZen 4 EPYC『Genoa』にHBM採用を検討している模様
    repunit
    repunit 2021/07/19