タグ

FPGAに関するyuisekiのブックマーク (45)

  • ハード素人が32bit CPUをFPGAで自作して動かすまで読んだ本のまとめ - スティルハウスの書庫の書庫

    男子たるもの一度は自分でCPUを作ってみたいものだけど、ICでLEDをピカピカさせた程度の経験しかないハード素人な俺だったので、CPUを自作してる東大生などを遠くから見て憧れてるだけだった。しかしおよそ一年前のこと、「MIPSなんて簡単に作れますよ!」とKさん(←FPGAでLispマシンを自作するような人)に言われて、お、おぅ。。そりゃKさんはそうでしょうよ。。あれ、もしかして俺にもできるかな。。? と思った。この一言がなければ32bitCPUを自作しようなんて考えなかっただろう。 それから一年ちょい、とくに今年の正月休みやFPGA温泉でがっつりがんばって、なんとかMIPS Iサブセットの自作CPUが動いた。これはフィボナッチを計算してるところ。 ちなみに、これはこんな感じのフィボナッチのコードをCで書いて、 void main() { int i, *r = (int *)0x7f00

    ハード素人が32bit CPUをFPGAで自作して動かすまで読んだ本のまとめ - スティルハウスの書庫の書庫
  • FPGAのおすすめ教材 - きしだのHatena

    ここ最近のエントリを見て、モテたくてFPGAを触りたくなったという人もいるだろうと思います。 ただ、FPGAを触るといっても、どこから始めればいいのか、何を買えばいいかわからない人も多いのではないでしょうか。 そこで、FPGAを触るために用意するもので、おすすめのものをまとめてみます。下書きでずっと放置していたのですが、ばたばたと公開しておきます。 前提としては、今までハードウェアを触ったことのないソフトウェア技術者が、今後FPGAでデータ処理をしてモテるための準備をするということを想定してます。 「まず3万円用意します」 最初に、FPGAの評価ボードが必要です。 いろいろ安い評価ボードもありますが、ある程度最初からデバイスがついていないと楽しくないし、勉強もやりにくいです。また、その評価ボードを使ったテキストも必要になります。 そういう点で考えると、いまはDE0一択になると思います。 D

    FPGAのおすすめ教材 - きしだのHatena
    yuiseki
    yuiseki 2013/04/07
  • Amazon.co.jp: 自律走行ロボットカーを作る グラフィカル言語でFPGAプログラミング: 長野達朗, 岡田一成, スワローケーシー, 天沼千鶴, 塩原愛: 本

    Amazon.co.jp: 自律走行ロボットカーを作る グラフィカル言語でFPGAプログラミング: 長野達朗, 岡田一成, スワローケーシー, 天沼千鶴, 塩原愛: 本
  • グラフィカル言語でつくるロボットカー――市販材料で挑戦 - 週刊アスキー

    発売中の書籍『自律走行ロボットカーを作る グラフィカル言語でFPGAプログラミング』(関連サイト:Amazon)は、自分で構成をプログラムできる集積回路のFPGAを使って、タイトル通りに自律走行ロボットカーを作るというもの。 目の前をラジコンカーが走っている――何でもない光景だが、操縦する人がなく、さらに障害物を避けて走っているとなるとどうだろう? ■個人でも作れるようになったロボットカー 生活を支援するロボットというと、iRobot社のロボット掃除機『ルンバ』や、ペットの役割をするアザラシ型の癒しロボット『パロ』(大和ハウス工業)など、目的に特化し機能を限定したものが思い浮かぶだろう。 支援という意味で自動車の世界に目を向けると、富士重工業の『EyeSight』では、ステレオカメラを使用して衝突を回避するシステムをルームミラーに搭載したり、また白線検知をしてレーンから外れないようにドライ

    グラフィカル言語でつくるロボットカー――市販材料で挑戦 - 週刊アスキー
  • FPGAの応用領域が拡大,ビッグ・データや金融取引,Webデータ処理のインフラ技術に

    FPGA(Field Programmable Gate Array)は,ユーザが所望の処理をハードウェア・ロジックとして構築できる,プログラム可能なハードウェア・デバイス(LSIチップ)です.大規模LSIの機能検証や高速伝送装置のデータ転送処理,画像処理機器のフロントエンド処理など,特定の演算処理を高速化するハードウェア・コンポーネントとして活用されています. しかし近年,半導体プロセスの微細化に伴って,一つのFPGAチップ上に実装可能な回路規模が増大し(図1),また最高動作周波数も上昇しています.そのため,従来はOS上で動作するソフトウェア・プログラムとして記述されてきたアプリケーション処理を,まるごとFPGA上に実装することが可能となってきました. 図1 FPGAの回路規模の増大 編集部注:「Xilinx Virtex-8?」の部分は,あくまでも筆者の推測です. FPGAはプログラム

    yuiseki
    yuiseki 2013/03/25
  • MPU、GPU、FPGAをまとめてプログラムできる環境、IBM研究所がJava拡張言語ベースに開発中

    米IBM社研究所は、異なるアーキテクチャのリソースが混在したコンピューティング・システムのプログラミングを一括して行える設計環境に関して、「Asia and South Pacific Design Automation Conference(ASP-DAC) 2013」(2013年1月22日~25日にパシフィコ横浜で開催)で招待講演した。講演タイトルは「The Liquid Metal IP Bridge」(講演番号:4A-3)である。 講演タイトルにある「Liquid Metal」は、異なるアーキテクチャのリソースが混在したコンピューティング・システムのプログラミングを一括して行える設計環境を開発するプロジェクトの名称である(プロジェクトのホームページ)。このプロジェクトは2007年に始まった。今回、登壇したのはRodric Rabbah氏で、同氏はThomas J. Watson R

    MPU、GPU、FPGAをまとめてプログラムできる環境、IBM研究所がJava拡張言語ベースに開発中
    yuiseki
    yuiseki 2013/02/24
  • CPU、GPU、DSP、そしてFPGA - きしだのHatena

    前のエントリでのコメントへの返信として書いたものを、エントリとしてもあげておきます。 それぞれのデバイスの使い分けのイメージです。 タイトルこそあおってますが、実際にCPUが完全に駆逐されるとは思っておらず、少なくともアプリケーションに関してはCPUを使った逐次処理で実行するのが向いていると思います。つまり、大部分のコードはCPUで動くことになると思います。 また、これは単にイメージなのですが、ストックデータに対する処理、たとえば画像処理は、セルごとにメモリの割り当てられたGPGPUが強いだろうなと推測してます。 FPGAが必要になるのは、レイテンシを最小化させたい処理で、ディスクやネットワークなどのI/O部分に、中継としてデータ処理をさせる用途ではないかと考えています。いま流れてるデータにだけ注目するのであれば、メモリは多く必要ありません。 多数のSSDFPGAつないで、MapRedu

    CPU、GPU、DSP、そしてFPGA - きしだのHatena
    yuiseki
    yuiseki 2013/02/24
  • CPUはオワコン - きしだのHatena

    FPGACPUを組んでると、フェッチ部やデコーダ部で足し算や掛け算をしようとして、そんなことしたらCPUの意味ないなーと思ってしまうことがありました。 で、よく考えたら、FPGAでロジックを組むならCPUの意味はないんです。 だいたい、ひとつの処理実行するのに何クロックかかってんですか!と。 CPUでは、計算効率をよくするためにパイプラインという仕組みが使われています。 最近では、18段とかのパイプラインもあるようです。 ここで、18段のパイプラインのうち、実際に計算を行うのは2段か3段だったりします。残りの15段くらいは、命令や計算結果を読んだり書いたりしているだけです。 このパイプラインも、ほとんどはメモリの読み書き、それも命令の読み込みに多くが使われます。 であれば、CPUにしなければ、18段全部計算に使えるんじゃね?という話になりますね。 決まりきった計算を行うのに、いちいちメモ

    CPUはオワコン - きしだのHatena
    yuiseki
    yuiseki 2013/02/21
  • Getting Started with OpenRISC

    Kevin Mehall Getting Started with OpenRISC v2 - Updated 21 October 2012 From FPGA to Linux Shell Introduction OpenRISC is a CPU architecture developed by the OpenCores community. OR1200 is an open-source Verilog implementation of the CPU core, and ORPSoC (OpenRISC Reference Platform System on Chip) combines the OR1200 CPU with a set of peripherals. The system-on-chip can now synthesized on FPGAs t

  • これからはじめる インテル FPGA - 半導体事業 - マクニカ

    みなさんは FPGA という IC をご存知ですか? 「FPGA という名前は聞いたことがある。」「ハードウェアエンジニアが使っているけれど、実際どういうものかわからない。」という方もいるのではないでしょうか。 FPGA は、今や多くの電子機器に使用されている重要なパーツの一つになっています。 この特集では、FPGA をご存じないユーザー向けに FPGA をより知っていただくためのコンテンツをご紹介します。 オンラインセミナーやハンズオン形式のワークショップ、自習型のチュートリアルもご用意していますので、ぜひ 実践してみてください。 耳ヨリ情報! 2024.01 [更新] インテル FPGA FIR II IP コア簡易チュートリアル をアップデートしました。 2023.11 [新着] Intel Agilex® 7 FPGA & SoC 機能紹介 にドキュメントを追加しました。 2023

    これからはじめる インテル FPGA - 半導体事業 - マクニカ
    yuiseki
    yuiseki 2013/02/19
  • 【販売終了】ALTERA CycloneIV FPGA開発・学習ボード DE0 Nano /P0082

    【在庫限りで販売終了】 カードサイズの小さなパッケージにCycloneIV EP4CE22F17C6Nを実装。USBケーブルでPCと接続するだけでプログラミングが可能。※外箱(化粧箱)に破れ・凹み・角スレ等がある場合がありますが、中身が正常な商品は返品不可となります。予めご了承ください。 ●カードサイズのパッケージに「FPGA CycloneIV EP4CE22F17C6N」を搭載 ●オンボードで3軸加速度センサIC「ADXL345」実装 ●12bit 8チャンネルA/Dコンバータ実装 ●DE0と同じく、オンボードでUSB-Blasterを実装しているので、USBケーブルでPCと接続するだけでプログラミングが可能 ●外部I/O用ピンヘッダ実装済 ●32MB SDRAM、2kb I2C EEPROMも用意 ●8個のLED、プッシュスイッチ、ディップスイッチ実装 ●その他の詳細スペックはこちら

    yuiseki
    yuiseki 2013/02/19
    9,800円
  • Xilinx Spartan-3AN スタータ キットの覚え書き | なんでも独り言

    プログラムファイルの作成 ひと通り書き終わったら保存して、左下のメニューの「Generate Programming File」をダブルクリックします。 もし個別にコンパイルしたいときは、VHDLは「Synthesize - XST」を、UCFだと「Implement Design」をダブルクリックします。 結構時間がかかると思いますが、成功すると思います。 WARNINGが出る場合は気にしなくていいですが、ERRORが出るの場合は直す必要がありますので何度も見直してみてください。 FPGAにプログラムを書き込む まず、左下のメニューの「Configure Target Device」をダブルクリックします。 何か警告が出ると思いますが、気にせず[OK]をクリックします。 出てきたウィンドウの左上にあるメニューから「Boundary Scan」をクリックします。 次に白くなった右側のエリア

    Xilinx Spartan-3AN スタータ キットの覚え書き | なんでも独り言
    yuiseki
    yuiseki 2013/02/19
  • DE0-Nanoを買ってみた | なんでも独り言

    少しFPGAに興味があって形から入ろうと買ってみました。 ちなみにデジキーで買いました。 買う側からしたら円高ってやっぱりいいですねwww 箱にはドラゴンが載っていてかっこいい感じ。 DE0-Nanoは当に小さく、Arduinoと同じぐらいの大きさで驚きました。 それでいて高密度な基板なので、個人的にはとても好きです。 箱の中身はDE0-Nano体、CD×2、USBケーブル(A-miniB)、その他説明書など。 「SYTEM CD-ROM」というCDはFPGAがすぐに遊べるようなソフトやシステムの設定ができるソフトなどが入ってました。 もう1枚のはQuartus IIなんかをインストールするDVDでした。 とりあえず付属のマニュアルを読みながら、ググりながらVHDLでANDゲートやFFを書いてみました。 ここまでに2, 3時間かかりましたよ('A` やっぱ買ったほうがいいかな・・・

    DE0-Nanoを買ってみた | なんでも独り言
    yuiseki
    yuiseki 2013/02/19
  • DE0-Nanoの覚え書き | なんでも独り言

    Spartan-3AN スタータ キットの覚え書きを書いてたら、ちょっと前に買ったDE0-Nanoのことを思い出しました。 しかし、書き込み方法を覚えてないっていう・・・ というわけで、今度はDE0-Nanoに書き込むための覚え書きです。 とは言っても全部マニュアルに載ってますけどね。 QuartusⅡ Web Editionの起動と新しいプロジェクトの作成 QuartusⅡを起動して、メニューの[File]から[New Project Wizard...]をクリックします。 これをクリックしたらこんなウィンドウが出るはずです。 とりあえず、[Next >]をクリックします。 こんな画面になるので、プロジェクトの名前とかを適当に埋めていきます。 今回の名前は「test」にしました。 埋めたら[Next >]を押します。 [Finish]は押したらダメですよ! 「Add File」というペー

    DE0-Nanoの覚え書き | なんでも独り言
    yuiseki
    yuiseki 2013/02/19
  • FPGAとArduinoとRasberryPIの違いと、FPGAを勉強する理由 - きしだのHatena

    はたから見てると、FPGAとArduinoとRasberry PIって、なんか小さくてデバイス挿して使ってて似たもののように見えるかもしれません。 そんな中、どこが違うの?って思ってる人もいるかと思ったので、それぞれの違いと、そしてそこから得られる技術者としての知識をまとめてみます。 まあ、得られる知識として「それぞれのデバイスの使い方と周辺環境」ってのはありますが、それは当然として。「それぞれのデバイスの使い方と周辺環境」を得るメリットがわかる比較としてまとめたいと思います。 根底は「なんで最近FPGAをやってるか」っていうことの説明なので、そういうバイアスがあると思ってください。 FPGAというのはチップの種類なので、実際にはDE0などのFPGA評価ボードとの比較ですが。中でもDE0-nanoは大きさや価格を考えると、豪華なArduinoくらいの位置づけとして出してきたようにも見えます

    FPGAとArduinoとRasberryPIの違いと、FPGAを勉強する理由 - きしだのHatena
    yuiseki
    yuiseki 2013/02/19
  • FPGAでジョインやソート - スティルハウスの書庫の書庫

    ストリームやデータベースにおけるジョインやマージやソートのFPGA実装って、いまどこまで研究が進んでいるんだろう…と気になってて、その道の専門家である筑波大の川島さんに参考になるpaperをいくつか教えてもらった。これからゆっくり読む。 How Soccer Players Would do Stream Joins ストリームデータ同士を高速にwindow joinするhandshake joinという手法。これをベースに、三好さん+オゲさん+川島さんが世界最速のFPGA実装を作成されたとのこと。 Sorting Networks on FPGAs ハードウェアでソート! FPGAs: A New Point in the Database Design Space FPGAでデータベースまわりの処理、あまり文がないのでリンク集って感じ。 FPGA: What’s in it for

    FPGAでジョインやソート - スティルハウスの書庫の書庫
    yuiseki
    yuiseki 2013/02/17
  • FPGAによるリアルタイム画像処理 | CiNii Research

  • FPGAを用いたSURFの実時間計算法 | CiNii Research

  • 小型ロボット組み込み用途AdaBoostを用いた標識認識FPGAの設計 | CiNii Research

  • FPGAを用いたナノ秒オーダ画像認識ハードウェア | CiNii Research