タグ

hdlに関するjjzakのブックマーク (241)

  • 初めてでも使えるVerilog HDL文法ガイド ―― 文法ガイド編

    回路記述やテストベンチでよく用いるものについて,Verilog HDLの文法の要約を示します.簡略化して表現したものもあります.また,省略できる項目には[ ]を付けました. (編集部) 1.基項目1.1 識別子● 通常の識別子 英字またはアンダ・スコア( _ )で始まる文字列.文字列中には,英字,数字,アンダ・スコア( _ ),ドル記号($)を含むことができる.大文字と小文字を区別する.《記述例》 正しい識別子 cnt4,_reset,TEN$,INPUT (大文字なので予約語のinputとは区別できる) 誤った識別子 74LS00(先頭が数字),$test(先頭が$),xor(予約語) ● エスケープされた識別子 バック・スラッシュ( \ )で始まる文字列(日語環境では¥で始まる文字列).任意の印字可能なASCII文字を含むことができる.ホワイト・スペース(スペース,タブ,改行)が識

  • 知っていそうで知らないFPGAの素顔

    FPGAは,いま電子機器設計者の間で急速にユーザーを増やしているデバイスの一つだ。「エフ・ピー・ジー・エー」と呼ばれるこのデバイスの正式な名前は,「field programmable gate array」。それぞれの言葉の頭文字を並べるとFPGAになる。FPGAは,多数の論理回路(gate)を一つの半導体チップに集積し,アレイ(array)状にしたデジタルICの一種。しかも,「field programmable」。つまり,論理回路が「field(現場)」でプログラミングできるのが大きな特徴だ。具体的には・・・(記事を読む) 第2回 FPGAの基礎その2 FPGAの中をのぞいてみる!(6月上旬UP予定) 第3回 FPGAの利点その1 システム・コストを低減させる(7月上旬UP予定) 第4回 FPGAの利点その2 データの大容量化への対応(8月上旬UP予定) ※連載は、2009年12月ま

  • 一本道 期間限定スペシャルー無料お試し開始!

    jjzak
    jjzak 2009/01/28
    ASICやFPGAなどの設計に使われる無償ツールのインストール方法や使用方法を備忘録を兼て記録してゆきます。実際に使用されている方たちの情報交換の場になればよいと思います。
  • 閑古電子工作戯庵

    C8051F300  MC68HC908QT/QY 最近、滞っていますので、当ページは自分のための備忘録、我が子へのメッセージ扱いとします。

  • MAX II マイクロキットでZ80 - Sim's blog

    トラ技12月号ではPICマイコンをCPLDで作っています。昔なつかしいZ80をMMKに入れてみました。もちろんCPUから全部自分で作るわけではありません。回路規模が小さいので有名なfz80コアを使わせてもらいます。fz80コアは「FPGAPC-8001を作る計画」で使われたCPUコアです。fz80の最新バージョンはこちらからダウンロードできます。 ブロック図です。 クロックはmmkの50MHzを16分周した3.125MHzにしました。fz80にはwait入力があるので分周しない実装もありです。 RAMはなしでROMだけです。LEDチカチカをする最低限のROMを組み合わせ回路で実装します。 合成結果の回路規模はLE数が1255 / 2210 (57%)でした。まだ余裕がありますね。 時間はどれを見ればいいのかあいまいですが、Timing Analyzerのsummaryを見るとClock

    MAX II マイクロキットでZ80 - Sim's blog
    jjzak
    jjzak 2008/12/22
    MAX II マイクロキットでZ80
  • FPGAの部屋 Spartan3E Starter Kit でマイコンを作る

    soc-lm32その後12 前回の流れでLatticeMico32のdriversフォルダの下のライブラリを使ってu-bootをブートしようとしたが、フォルダの中に入っていないインクルードファイルがあって、どうもコンパイルできない。多分、システムのジェネレータなどでファイルを生成するのではないだろうか?これ以上はLatticeのツールをインストールする必要があるようだし、とりあえずペンディングにしようかと思う。 今月のDWMでも山際氏がLatticeのデモボード上でMico32を複数使ったマルチコアシステムの記事を書いているし、デモボードを買って試してみても良いかな?とも思ったが、とりあえずはハードを作るほうに戻ろうかと思う。 載せやすいフリーOSがあったら教えてください。なにか、そういうのを載せたいのだが。。。 soc-lm32に自作のDDR SDRAMコントローラを載せた物をほしい方が

  • FPGA Development Seminar

    全日程終了いたしました!! 9月2日(火)から4日(木)までの3日間の講習会を無事終了することが出来まし た。毎日40名以上の方に受講していただきありがとうございました。アンケート の回収結果からは問題点も明らかになりましたが、全体評価としては、今後も定 期的に開催して欲しい、役に立った、理解できたなど、好評をいただきました。 FPGAやボード開発に関わる講習会開催の希望などありましたら今後も引き続きご 意見をお寄せ下さい。よろしくお願いいたします。 アンケート結果などは準備が出来次第公表する予定です。 主催者、講師一同 対象者:学生および研究者 日時: 9月2日(火)から4日(木) 場所: KEK 3号館1階会議室(9/2)、4号館セミナーホール(9/3-4) 参加費:無料 内容: 9/2 コース1. 入門編:FPGA設計の基礎としてデジタル回路入

  • fpga

    3.4 乗算器  2桁以上の乗算は筆算で図3.27 のように行われます。この中でも乗算がありますが、これは1 桁の乗算で九九の表を使います。乗算結果のところで乗算をしていますが, これは桁位置を変えるだけで実際に計算する必要はありません。

  • 犬山ノート

    さてさて、Windows7 RCさんのインストールでふが、、、。 BIOSのアップデートには結局ASUSさんのACPIドライバをインストールしないとでした(とほほ というわけで、BIOSを最新版に更新してござります。 Intelさんのプログラムが無いよ~っと言われちゃうのは、タスクトレーのプログラムさんの仕業でしたので、msconfigのスタートアップでAsTrayを止めてあげると良い感じでふ。 結局、インストールしたものは、、、。 ・Windows 7 RC ・Windows Updateの更新プログラム ・Asus ACPI Driver ・ASUS Update + BIOS 1102 ・タッチパッドドライバ ・SuperHybridEngine でござりまする~。 インストールでは、ASUS setupでなくて、setupを起動するとうまくいったりしますよんっ。 タッチパッドドライ

    犬山ノート
    jjzak
    jjzak 2008/08/24
    ddr-sdramのインプリメンテーション
  • 自作PC

    投稿がありません。 投稿がありません。 ホーム 登録: 投稿 (Atom)

  • http://www.jwdt.com/~paysan/b16.html

  • サービス終了のお知らせ

    サービス終了のお知らせ いつもYahoo! JAPANのサービスをご利用いただき誠にありがとうございます。 お客様がアクセスされたサービスは日までにサービスを終了いたしました。 今後ともYahoo! JAPANのサービスをご愛顧くださいますよう、よろしくお願いいたします。

    jjzak
    jjzak 2008/06/04
    Spartan3とPSP液晶
  • FPGAボードを買うときに気をつけること 2008年版 - ぱたへね

    いろんな人にFPGAで遊んで欲しいのですが、初心者にはFPGAボードを買うところに大きな障壁があります。 私なりに初心者が忘れがちなところをまとめてみました。FPGA業界は、Altera社とXilinx社が大きなシェアを持っており、最初の一歩はこの2社から選ぶことになります。他社のFPGAは、Web、書籍とも情報が激減するのでお勧めしません。 開発用のPCは普通にWindowsXP/2000がお勧めです。Linuxでの開発も可能なのですが、不具合が発生したときの情報が代理店レベルでも少なく、初心者が自力で解決するのも難しいです。あとで紹介しますが、Veritakという非常に便利なシミュレータがあるので、初心者の最初の一歩はWindows上でVerilog-HDLを使うことをお勧めします。 開発環境 合成ツール FPGAで何かをする場合、ボードとは別にVerilog/VHDLの開発環境が必要

    FPGAボードを買うときに気をつけること 2008年版 - ぱたへね
  • FPGA Spartan-3A スターターキットであそぶ - 言語ゲーム

    XILINX 社の FPGA Spartan-3A 評価キットでプログラムを書いてボード上で実行するまでの最低限の手順を書きます。特に英語版を買った人は、箱に入っている資料では全く役に立たないので注意して下さい。でも XILINX のサイトに沢山情報があります。 ソフトウェアのインストール 付属の CD-ROM から ISE 9.2i 評価版をインストールしました。インストール時に XILINX ウェブサイトでキーを発行してもらう必要があります。EDK は不要です。 FPGA の動作原理 普通 IC というのは作ってから中の回路を変更する事が出来ません。しかし FPGA には回路の素が沢山入っていて、ビットストリームという情報から動的に回路を作り出します。つまり後から CPU にもメモリにも何にでもなる、チップ界の ES 細胞と言えます。残念ながら回路の合成は電子的に行われるので、回路の素

    FPGA Spartan-3A スターターキットであそぶ - 言語ゲーム
  • 雨が、降って、きた・・・ -Down, comes, the rain..

    jjzak
    jjzak 2008/05/09
    PIC16をVerilogで作って見ました
  • prolog Verilog HDL で 3 4 - 言語ゲーム

    図書館で HDL の教科書を読むと、ハードウェア記述言語というのはある意味マルチパラダイム言語だという事がわかった。と言うのも、ハードから近い順に次のような書き方を全部サポートしているのだ。 構造(Structure)記述 : 回路図をそのまま文字にしただけ データフロー(Dataflow)記述 : 数式のレベルで書ける 機能(Behavior)記述 : 副作用のある代入や、制御構造が使える。 こ、これは面白い!どこが面白いかと言うと、この Structure, Dataflow, Behavior というのは、プログラミング言語で言うと、論理型言語、関数型言語、手続き型言語に対応している。しかもこの順序は、実装から遠いと思っていた順だ。つまり、普通手続き型言語が一番機械語に近くて、論理型言語が一番遠くて実装がややこしい。しかし、ハードの世界になると逆転して、実は論理型が一番メカに近くて、

    prolog Verilog HDL で 3 4 - 言語ゲーム
  • DesignWave 07年7月号のおまけFPGAを使ったSPI表示器

    秋月のフルカラーLCDをFPGAを使ってSPI接続の表示器にします(08/01/15制作) はじめに 我らが秋月電子で販売しているフルカラーLCD「LTA042B010F」.例のごとく,パチンコ等から流れてきた液晶で, 秋月のデータシートに細かい制御方法は記載されていません. しかし,電子工作の猛者達が解析した結果をネットに公表してくれているおかげで, ほぼ完全に制御できる様になりつつあります.ですが,マイコンのみで制御する場合, ほとんどの処理が液晶表示の為に割かれてしまい,他の処理をするのが難しいです. そこで,ページではFPGAを表示用ドライバにし, マイコン側からはできるだけ簡単な処理のみで表示できるようにします. 使用する部品 部品は基的に秋葉原の店頭で全てそろいます.使用する部品以下を以下に示します. フルカラーLCD「LTA042B010F」 バックライト用インバータ

    jjzak
    jjzak 2008/05/09
    FPGAで秋月液晶の表示
  • FPGAの部屋のまとめサイト

    FPGAの部屋の記事をまとめることにしました。カテゴリ別にリンクがあるのでリンクに飛んでください。私が有用と思われるコンテンツ についてリンクがあります。 このページでは主にXilinx社のFPGAについての話題を書いています。 AMD(Xilinx)社のFPGA用ツールについて FPGAリテラシーおよびチュートリアル (Xilinx社のFPGAツールの使い方や7セグメントLEDのダイナミック点灯などについて書いてあります。初めての方はここをごらん頂くと良いと思 います) Xilinx ISEについて (XilinxのISEツールについての情報、ここがおかしいとかこうすると良いなどの情報) UCFの書き方 (XilinxのISEツールを使う上での大事な制約ファイル(UCFファイル)の書き方) Floorplannerの使い方 (モジュールをフロアプランできるツールFloorplannerの

    jjzak
    jjzak 2008/05/09
    DDR SDRAMコントローラとDDR2 SDRAMコントローラの製作方法につい
  • Nehmen wir ALTERA - Erdgeschoss [Speisekarte]

    ■ EG 入り口&総合案内  ‥‥ 2008/09/20 このサイトの説明や注意点など。ご利用の前には一読下さい。 ・管理日誌を更新(2008/09/20) ・トップページを更新(2008/09/12) ・QuartusII 8.0 リリースアナウンスを転載(2008/06/04) ■ Et.1 プロジェクトの部屋  ‥‥ まもなく公開 当サイトのメインコンテンツです。ただいま準備中。 暫定公開 → TURQUOISEの製作 暫定公開 → 軽量動画フォーマットの研究 暫定公開 → QuartusII WEのセットアップ方法 暫定公開 → アナログ回路講習 ■ Et.2 無銘のBBS  ‥‥ 随時更新 心に移りゆくよしなし事を書きつづるBBS ■ Et.3 リンクの先にめざすもの  ‥‥ 2008/04/21 デバイスメーカを中心としたハード関係のサイトと個人的趣

  • BrainFuckマシーンリリース なう! - なつたん

    FPGA上で動くBrainfuckマシーンが完成しました。 Nios Development Board, Cyclone EditionでBrainfuckが動く! (1)特徴 ・最大100MHzの高速動作(Altera社、Cyclone使用時) ・シリアルポートを標準入出力として使用可能 ・移植性を考慮したデザイン。ROM、RAMのサイズ、容量が可変。UNICODEにも対応。 ・335LEの超小型CPU(シリアルポートを含む) ・未定義命令を検出したとき自動的にHALTモードに遷移 ・Brainfuckソースコードから、Altera MIFファイルへの変換ツール付属 ・全Verilogソースコード、Veritakでのテストベンチ付属 ・長門モード搭載 (2)アーキテクチャ ・命令用、データ用のメモリが分離されたハーバードアーキテクチャ ・スーパースカラ機構と組み合わされた投機的インクリ

    BrainFuckマシーンリリース なう! - なつたん