並び順

ブックマーク数

期間指定

  • から
  • まで

1 - 40 件 / 46件

新着順 人気順

FPGA・CPLDの検索結果1 - 40 件 / 46件

  • マイコン・ユーザーのための、 FPGA設計ガイド ~ステップ・バイ・ステップでFPGAにトライ!~

    Intel.com サーチを使用 いくつかの方法で Intel.com のサイト全体を簡単に検索できます。 製品名: Core i9 文書番号: 123456 開発コード名: Emerald Rapids 特別な演算子: “Ice Lake”, Ice AND Lake, Ice OR Lake, Ice*

      マイコン・ユーザーのための、 FPGA設計ガイド ~ステップ・バイ・ステップでFPGAにトライ!~
    • Hello world - Wikipedia

      LEDライトの発光を用いた"Hello, World!"の表示 Hello world(ハロー・ワールド)は、画面に「Hello, world!」やそれに類する文字列を表示するプログラムの通称である。多くのプログラミング言語において非常に単純なプログラムであり、プログラミング言語の入門書で、プログラムを動かすためのプログラミング言語の基本文法の解説例として提示される。 利用目的[編集] ハロー・ワールドは伝統的にプログラミング言語をプログラム初心者に紹介するために使われる。また、ハロー・ワールドはプログラミング言語が正しくインストールされていること、およびプログラミング言語の使用方法を理解するための健全性テストにも使用される。 『プログラミング言語C』(第2版)では、初めに「新しいプログラミング言語を学ぶ唯一の道は、それでプログラムを書いてみることである」との考えが示され、プログラムを入力

        Hello world - Wikipedia
      • FPGAボードを買うときに気をつけること 2008年版 - ぱたへね

        いろんな人にFPGAで遊んで欲しいのですが、初心者にはFPGAボードを買うところに大きな障壁があります。 私なりに初心者が忘れがちなところをまとめてみました。FPGA業界は、Altera社とXilinx社が大きなシェアを持っており、最初の一歩はこの2社から選ぶことになります。他社のFPGAは、Web、書籍とも情報が激減するのでお勧めしません。 開発用のPCは普通にWindowsXP/2000がお勧めです。Linuxでの開発も可能なのですが、不具合が発生したときの情報が代理店レベルでも少なく、初心者が自力で解決するのも難しいです。あとで紹介しますが、Veritakという非常に便利なシミュレータがあるので、初心者の最初の一歩はWindows上でVerilog-HDLを使うことをお勧めします。 開発環境 合成ツール FPGAで何かをする場合、ボードとは別にVerilog/VHDLの開発環境が必要

          FPGAボードを買うときに気をつけること 2008年版 - ぱたへね
        • FPGAの部屋のまとめサイト

          FPGAの部屋の記事をまとめることにしました。カテゴリ別にリンクがあるのでリンクに飛んでください。私が有用と思われるコンテンツ についてリンクがあります。 このページでは主にXilinx社のFPGAについての話題を書いています。 AMD(Xilinx)社のFPGA用ツールについて FPGAリテラシーおよびチュートリアル (Xilinx社のFPGAツールの使い方や7セグメントLEDのダイナミック点灯などについて書いてあります。初めての方はここをごらん頂くと良いと思 います) Xilinx ISEについて (XilinxのISEツールについての情報、ここがおかしいとかこうすると良いなどの情報) UCFの書き方 (XilinxのISEツールを使う上での大事な制約ファイル(UCFファイル)の書き方) Floorplannerの使い方 (モジュールをフロアプランできるツールFloorplannerの

          • 新居良祐サポートページ - Verilog-HDL入門

            Verilog-HDL 入門 私は,LSI に関する研究をしているため,回路をテキストで記述する(ネットリストを書く)ことがあります。これが,結構面倒くさい!! ある時ふと「Verilog」で回路を設計してみようと思い,記述してみると Verilog で記述する方が“ん10倍”楽でした(← 専門の人からすると,当然だと思いますが…)。 今回,Verilog に関する自分用のメモとしてこのページに残すことにしました。ただし,私は Verilog-HDL の専門家ではないので,誤った記述が多々存在するかもしれません。その場合には,ぜひご一報ください。 (注:プログラムも表も,キャプションを全て“図”としています) メインメニュー Verilog-HDL とは Verilog シミュレータと波形表示ソフトのインストール いりなり Verilog を書いて,シミュレーションしてみる Verilog

            • HDL:ヒューマンデータ Altera/Xilinx FPGAボード

              Alteraシリーズ アルテラ社デバイスを搭載した「FPGAボード」や「CPLDボード」やダウンロードケーブルなどはこちらをご覧ください。 AMDシリーズ AMD社デバイスを搭載した「FPGAボード」や「CPLDボード」やダウンロードケーブルなどはこちらをご覧ください PLCC68シリーズ PLCC68ピンサイズのFPGA/CPLDモジュールはこちらをご覧下さい FT600/FT601特設ページ FTDI社のUSB3.0 SuperSpeed対応チップ搭載ボード FTDI評価ボード FTDI社のHi-Speed対応変換ICをはじめ、各種のFTDIチップ評価ボードがございます UTLシリーズ FPGAやマイコンに接続して活用できる便利基板をUTLシリーズとして販売しています。Intel(ALTERA)社のHSMC規格の対応ボードもございます。 USBシリーズ USBシリアル変換器をはじめ、U

              • TopPage - Verilog HDL & FPGA

                FPGAボード(Spartan-3Eスタータキット,またはSpartan-3Aスタータキット)で動作するCPUをVerilog HDLで設計します. さらに,そのCPUをターゲットとするアセンブラとコンパイラも設計します. ソースコードはかなり簡潔に書かれており,コード量がかなり少ない(約250行程度)にもかかわらず,必要最低限の機能をもったCPUがFPGAボードで正しく動作します. ソースコードの簡潔さに重点をおいているので,回路が使用するリソースや効率化は重視していません. 論理合成ツールの安定性とVerilog HDLの基本構文のみ用いることを考慮し,ソースコードは原則Verilog-95に準拠しています. Verilog 2001などでも論理合成可能です.アセンブラはPerl,コンパイラはflexとbisonで記述されており,きわめて少ないコード量で正しく処理することができます.

                • HuMANDATA LTD. リンクコーナ

                  <BODY> <P>このページを表示するには、フレームをサポートしているブラウザが必要です。</P> </BODY>

                  • Monpe's Room [Design : Verilog]

                    文法(1) : module 文法(2) : 論理値,定数,データ型 文法(3) : 多bit信号,演算子 文法(4) : 組み合わせ,順序回路記述 文法(5) : シミュレーション記述(1) 文法(6) : シミュレーション記述(2) 文法(7) : シミュレーション記述(3)

                    • Nehmen wir ALTERA - Erdgeschoss [Speisekarte]

                      ■ EG 入り口&総合案内  ‥‥ 2008/09/20 このサイトの説明や注意点など。ご利用の前には一読下さい。 ・管理日誌を更新(2008/09/20) ・トップページを更新(2008/09/12) ・QuartusII 8.0 リリースアナウンスを転載(2008/06/04) ■ Et.1 プロジェクトの部屋  ‥‥ まもなく公開 当サイトのメインコンテンツです。ただいま準備中。 暫定公開 → TURQUOISEの製作 暫定公開 → 軽量動画フォーマットの研究 暫定公開 → QuartusII WEのセットアップ方法 暫定公開 → アナログ回路講習 ■ Et.2 無銘のBBS  ‥‥ 随時更新 心に移りゆくよしなし事を書きつづるBBS ■ Et.3 リンクの先にめざすもの  ‥‥ 2008/04/21 デバイスメーカを中心としたハード関係のサイトと個人的趣

                      • コンピュータ設計の基礎知識

                        English here コンピュータをはじめとする論理回路設計に役立つコンテンツを用意しています。 無償ダウンロードとして、ドキュメント・ツール類・開発環境CDROMイメージ・ サンプル回路記述などを置いてあります。ごゆっくりと散策ください。 コンピュータ設計の基礎知識 ?ハードウェア・アーキテクチャ・コンパイラの設計と実装? サポートページ コンピュータ設計の基礎知識?ハードウェア・アーキテクチャ・コンパイラの設計と実装 書店アマゾンへのリンク 「コンピュータ設計の基礎知識」を補完するハードウェア設計の 演習書です。「コンピュータ設計の基礎知識」と併せてご利用ください。 (テキスト第3章)回路設計基礎 演習ノートPDFダウンロード (テキスト第3章)LTspiceによる回路シミュレーション(大槻氏著)PDFダウンロード (テキスト第3章)GNU ElectricによるLSIレイアウト(

                        • ソフト一覧 - ペンギンの杜 〜 Linux ソフト集 〜

                          運営サイト Linux系 Windows系 PC関連 最新更新 recent(20) 2021-06-19 MenuBar 2020-07-03 soft/MultiWriter ISOイメージを複数のUSBメモリに書き込む 2020-05-03 soft/icoutils Windowsのアイコン(*.ico)を取り扱う soft/Jellyfin クロスプラットフォームのメディアサーバー 2020-05-02 soft/OpenPHT オープンソースのメディアセンター soft/Plex 高機能なメディアサーバー 2020-04-23 soft/DeepSpeech Mozillaが開発したテキスト読み上げソフト 2020-04-09 SideBar FrontPage 2020-04-05 soft/ReadyMedia シンプルなメディアサーバー soft/Emby Web経由で再

                          • Intel® Programmable Solutions Group - 半導体事業 - マクニカ

                            Intel® Programmable Solutions Group インテル® プログラマブル・ソリューションズ事業本部 インテル® プログラマブル・ソリューションズ事業本部は、FPGA、SoC、CPLD、電源、ボード ソリューション等、付加価値の高いソリューションを提供している半導体メーカーです。 インテル® のプログラマブル・ソリューションは、電子機器製品の革新と差異化、お客様の市場での成功を、迅速かつコスト効率よく実現するものです。 主な製品 インテル® FPGA インテル® FPGA (Field Programmable Gate Array) は、ハードウェア製品とソフトウェア製品の特長を兼ね備えた LSI (大規模集積回路)です。 ソフトウェアのように機能を自由に変更できますが、ハードウェア処理により CPUよりも低消費電力で、高速に動作します。 インテル® FPGA は

                              Intel® Programmable Solutions Group - 半導体事業 - マクニカ
                            • ソフトウェア開発者向けのFPGA最新動向のまとめ

                              概要 なんで今さらFPGA ここ数年で以下のような動きがあり、FPGAがCPU,GPUに並ぶ処理系の選択肢の1つに入ってきた。 AIブームとNVIDIAのGPGPUの台頭 IntelがNVIDIAに対抗してFPGAメーカのAltera買収 MicrosoftとGoogleがFPGAをデータセンタのサーバに導入 Amazon Web ServiceのEC2でXilinxのFPGAの提供開始 しかし、ぐぐって勉強しようとすると、以下の難しさがあった。 レガシーなFPGAの情報と新しい話が混在している ソフトウェアエンジニア向けの情報が少ない 資料が英語そして英語 ということで情報をまとめる。 FPGAとは FPGAとは、Field Programmable Gate Arrayのことらしい。 ナンノコッチャ。 要するにフリップフロップ(Gateと呼ばれる)が大量に集まった集積回路(Array)

                                ソフトウェア開発者向けのFPGA最新動向のまとめ
                              • 特許から見た「プログラマブル・ロジック・デバイス(Programmable Logic Device:PLD)」の展望

                                平成13年1月 技術調査課 IT時代のキーデバイスとして期待されているシステムLSIのプラットホームとして、大規模なプログラマブル・ロジック・デバイス(Programmable Logic Device: PLD)が注目を集めている。そこで、大規模PLDとして、代表的なフィールド・プログラマブル・ゲート・アレイ(Field Programmable Gate Array: FPGA)とコンプレックス・プログラマブル・ロジック・デバイス(Complex Programmable Logic Device: CPLD)に関する特許情報を分析・調査し、特許から見たFPGA/CPLD技術の現状及び技術動向を展望する。 FPGA/CPLDの最も基本的な機能は、「プログラム可能な論理回路」という点に遡る。この点については、Kent Andresが、1970年に「MOS Programmable Log

                                • ムシン システムズのホームページ

                                  ◆ 弊社のリンクページ ◆ 超低価格なボード製品一覧 ◆ ソフト関係 ◆ ハードとファームウェア関係 ◆ 自動制御システム開発 ◆ 生産&治具システム開発 ◆ ソフト開発関係 ◆ 自動制御装置用SH-2 ボード ◆ 計測自動制御装置 ◆ PID 自動御制ボード ◆ 腕時計サイズ制御ボード ◆ ZigBee無線計測制御ボード ◆ 測画像処理制御ボード ◆ ロボット制御ボード & ソフト ◆ コイン・サイズのマイコンボード ◆ マルチ・プロセッサ自動制御装置 ◆ マルチCPU高精度データロガー装置 ◆ タッチスクリーン 計測 ボード ◆ 医療関係計測ボード・ソフト ◆ 会社案内ページ ◆ 他リンクページ ◆ 制御関係でのトラフル対策 ◆ 人工知能・組み込み制御と自動制御 ◆ デジタル制御やDCS制御のページ ◆ Linux&FreeBSDでのSCADA,HMI ◆ SoftPLC & Linu

                                  • VerilogHDL & VHDL on MacOS X

                                    ◆ VerilogHDL & VHDL ハードウェア記述言語 on MacOS X 10.2 ( 信号動作タイミング表示ソフト GtkWave 、及び 論理回路エディタとシミュレーション・ソフト TkGate ) ◆ Verilog & Tcl / Tk 関係 ◆ GtkWaveのコンパイルと実行 ◆ TkGateのコンパイルと実行 ◆ iverilogのコンパイルと実行 ◆ SystemC & gEDA, SpecC関係 ◆ Tcl / Tk & BLT関係 ◆ AquaTerm & gnuplot ◆ 数式処理ソフトMAXIMA ◆ Tcl / Tkでのシミュレーション ◆ 数式処理ソフトSciLab & Octave ◆ 他のホームページは Google で検索して下さい、弊社のページはそれぞれのリンク先をクリックして下さい。 http://www.google.co.jp ◆ リン

                                    • 研究に役立つLinux用ソフトウェア

                                      フリーソフトウェアを使うことで,研究に必要なほとんどのことができます. Windowsのように,Microsoftの都合で定期的にアップグレードという名の上納金を納めさせられることもありません. ここでは,私が研究に使っているいくつかのソフト(多くがフリーソフトウェア)と,その簡単な使い方を紹介します. 有限要素法などの数値計算も,何+万,何百万もする高額な市販ソフトを使用しなくても,ちゃんと計算できます. GNUプロジェクトに感謝 ちなみに,多くの人が(私も昔は)勘違いしているのですが,”フリー”は”無料”を意味するものではありません.”自由”を意味します. ソフトウェアの自由(実行,改変,再配布,改良版の公開)を尊重し,みんなで助けあって,より良いプログラムを作っていこうというのがその精神です. 目次 おすすめの本 数値計算(モデル作成,有限要素法,有限体積法,結果表示) Octave

                                        研究に役立つLinux用ソフトウェア
                                      • ぱたへね

                                        ロボットの確率・統計- 製作・競技・知能研究で役立つ考え方と計算法 を読みました。 www.coronasha.co.jp 筆者の紹介動画はこちら。 youtu.be 読んでみてざっくりの感想としては、初めて見るような概念がほぼ無かったので(自分が)良く勉強したなと感じました。本棚の定位置キープで、気になることがあれば戻ってくる本です。 僕は最小二乗法の数学がよく分からなくなったときに、これなら分かる応用数学教室を読み直します。 https://www.amazon.co.jp/dp/4320017382 先生と生徒の語りがあって、簡単だけど丁寧に説明があるところは似ていると思います。一回読んでわからなくてもこんなことが書いてあるなだけ頭に入れて、実際に計算が必要になったときに読み直すと、ああそういうことかと理解が進みます。おそらくこのポジションの本です。 本の内容 内容は、数学の証明は結

                                          ぱたへね
                                        • ムシン システムズのホームページ

                                          ◆ 弊社のリンクページ ◆ 超低価格なボード製品一覧 ◆ ソフト関係 ◆ ハードとファームウェア関係 ◆ 自動制御システム開発 ◆ 生産&治具システム開発 ◆ ソフト開発関係 ◆ 自動制御装置用SH-2 ボード ◆ 計測自動制御装置 ◆ PID 自動御制ボード ◆ 腕時計サイズ制御ボード ◆ ZigBee無線計測制御ボード ◆ 測画像処理制御ボード ◆ ロボット制御ボード & ソフト ◆ コイン・サイズのマイコンボード ◆ マルチ・プロセッサ自動制御装置 ◆ マルチCPU高精度データロガー装置 ◆ タッチスクリーン 計測 ボード ◆ 医療関係計測ボード・ソフト ◆ 会社案内ページ ◆ 他リンクページ ◆ 制御関係でのトラフル対策 ◆ 人工知能・組み込み制御と自動制御 ◆ デジタル制御やDCS制御のページ ◆ Linux&FreeBSDでのSCADA,HMI ◆ SoftPLC & Linu

                                          • MacOS X & X serve

                                            ◆ X serve 1Uラック・サーバ ◆ X serveによるスパーコンピュータ ◆ MacOS Xデータベース利用 ◆ MacOS XでのX Window System ◆ MacOS エミュレータ・ソフト ◆ MacOS Xのソフト開発環境 ◆ MacOS Xのソフト開発環境関係ページ ◆ MacOS XでのH8&SHソフト開発環境 ◆ MacOS XでのH8S/2238ソフト開発 ◆ MacOS XでのWidgetプログラミング ◆ Mac用CAD / CAM, PCB基板設計ソフト ◆ MacOS XでのWideStudioプログラミング ◆ MacOS XでのTcl/Tk Aqua ◆ MacOS X用の本格的なデータベースソフト4D ◆ MacOS XでのCPLD & FPGAソフト開発 ◆ MacOS XでのVerilogHDL & VHDLハードウェア記述言語 ◆ マイク

                                            • 今組み込み系の仕事をしている二年目です。 毎日仕事ができなくて凹んでま..

                                              今組み込み系の仕事をしている二年目です。 毎日仕事ができなくて凹んでます。元増田の2年目が羨ましいです。 研究室では解析アプリケーションを作るのにC,C++,Fortranをいじってました また趣味でサーバの立ち上げやWeb系のJavascriptやPHP,Pythonなどもいじっていました。 なんである程度どっちもわかります。 で、そんな自分が組み込み系の仕事に入ったわけなのですが、 まったく違う。組み込みとWebとアプリケーションで文化が違ったわけです。 ここからはあくまで私の体験ですが… まず、組み込み系はハード(接続図)を読めないと話になりませんでした。 CPU、FLASH、SRAM、FPGA、CPLD、アナログ回路、バッファ、それらをつなぐバス、電源、接点、コネクタ、スロット、A/D、D/Aなどなど、 これらがどうつながってるか意識しなくてはいけません。SoCとか行っても接続図読

                                                今組み込み系の仕事をしている二年目です。 毎日仕事ができなくて凹んでま..
                                              • ナヒテック 大容量SDRAM+USB付きFPGAボード NP1003

                                                1.作業前の準備 明るいところで作業しましょう。太陽光が最適です。 細かい部品が多いので、コンタクトレンズの人は、裸眼の方が楽に作業できます。 袋を開けたら、まず部品の数を確認してください。 次の工具・道具が組み立てには必要になります。 半田ごて 半田吸い取り線 ニッパ ピンセット 導通チェッカ(テスタ) 虫眼鏡、ルーペなど ヤニクリーナー(もしくは無水エタノール、メタノールなど) 綿棒 2.FPGAの半田付け 最初にFPGAをはんだ付けします。FPGAはピンが0.5mm幅でたいへん細かいので、半田付けにはある程度の習熟が必要です。 ここでは、FPGAを比較的簡単に半田付けする方法を紹介します。 2.1 乗せる FPGAを取り出したら、ICのピンとプリント基板のパターンが正確に重なるように、ピンセットを使って基板の上に乗せます。 このとき、部品の向きに気をつけてください。XILINXのロゴ

                                                • FPGAの発明者Ross Freeman: アマチュアサイエンティスト

                                                  ウェアラブルは何を変えるのか? |佐々木俊尚 (ベストアンサー) .@yonda4 4797367539[源平興亡三百年 (ソフトバンク新書)] 中丸 満 (ポロと旅する&あさちゃん。スポーツ2) 東野圭吾『歪笑小説』 (itchy1976の日記) シュレッダーされた紙を復元 (よいこ) 映画「マイ・バック・ページ」そんな時代もあったねと (soramove) 凛凛と 画像 (春を愛する人々) nhkテレビ小説 凛凛と (サキヨミ!ニュースダイジェスト) 【話題】アマチュアサイエンティストブログ 科学技術コミュニケーションの評価についてとりあげる (Science and Communication) 将棋裏情報 (将棋) ノーベル賞:英の2博士に 「グラフェン」開発 物理学  2010年イグ・ノーベル賞、各賞の内容 (自分なりの判断のご紹介) ちょっと英語サイトしか出てこないので、情報を

                                                    FPGAの発明者Ross Freeman: アマチュアサイエンティスト
                                                  • 電卓の設計

                                                    コンピュータ・アーキテクチャ [補足資料] [論理回路]の復習  −簡単な電卓を設計するには− 信州大学工学部 井澤裕司 1. はじめに CPU(中央処理装置)の動作を理解し、オリジナルのCPUを設計するためには、 基本的な論理回路から、コンピュータ・アーキテクチャまで幅広い知識が必要です。 ここでは、その手始めとして「最も単純な電卓」を設計し、その動作について理解を深めます。 これらの学習は、1年や2年で学んだ「論理回路」や「コンピュータ工学」の復習にもなります。 さらに、「電卓」と「コンピュータ」の類似点・相違点について、整理してみましょう。 CPUの基本的な原理や構造が、やがて見えてくることと思います。 2. 簡単な電卓を作ってみよう 2.1 電卓の機能 コンピュータを用いて、1桁の10進数の和を計算する機能について学習するため、 電卓で検討してみましょう。 電卓の入力装置は、数字や

                                                    • VHDL Tutorial: Learn by Example

                                                      <> HDL (Hardware Description Language) based design has established itself as the modern approach to design of digital systems, with VHDL (VHSIC Hardware Description Language) and Verilog HDL being the two dominant HDLs. Numerous universities thus introduce their students to VHDL (or Verilog). The problem is that VHDL is complex due to its generality. Introducing students to the language first, an

                                                      • Soliton Wave Shop

                                                        返品について 返品期限 ご到着後14日以内の未開封・未使用商品に限る。 返品送料 「不良品・当社の商品の間違い」の場合は当社が負担いたします。 配送途中の破損などの事故がございましたら、弊社までご連絡下さい。 送料・手数料ともに弊社負担で早急に新品をご送付致します。 上記以外の返品の場合はご負担いただきます。 不良品 万が一お手元に届いた商品が不良品だった場合は、商品をお受け取りの日(配達完了日)を含め7営業日以内にご連絡ください。 配送・送料について 宅配業者、郵便 北海道 1,370円〜 東北・関東・信越・北陸・中部 930円〜 関西 1,040円〜 中国・四国 1,150円〜 九州・沖縄 1,370円〜 製品の数量によって変動致します。 支払い方法について Amazon Pay Amazonのアカウントに登録された配送先や支払い方法を利用して決済できます。 代金引換 ●代金引換請求額

                                                        • Design And Reuse, The System-On-Chip Design Resource - IP, Core, SoC

                                                          Faraday Technology Corporation, a leader in ASIC design services and IP solutions, announces joining the Intel Foundry Accelerator Design Services, marking ... Read

                                                          • HDL HOMEPAGE BASE

                                                            弊社は超少量生産のものづくり企業です。小ロットにてFPGA/CPLDの評価ボード、学習用ボード、USB関連商品などを開発製造しています。 弊社製品は、すべて回路図、マニュアルなど公開しております。製品に付属するものと同じものです。(それがすべてでもあります)ご希望があれば、パターン図なども公開いたします。 不足する資料があれば、ご要望のほうをお願いいたします。特注品も1枚からお作りすることができます。 サンプル回路(VHDLなど)はご用意していません。 標準品のほとんどは即納体制にてご注文をおまちしております。

                                                            • 電子工作室

                                                              電子工作関連サイトへのリンク 1.電子工作のサイト ★電子部品販売店 ★電子工作の個人サイト ★ロボット関連のサイト ★HDL,FPGA関連サイト ★その他、情報源 2.CADやシミュレータ関連 3.IC、デバイス関連 ★電子工作のサイト ★電子部品販売店 RSコンポーネンツ  通販サイト RSオンライン 『注文はオンライン・電話・FAXで電子部品ひとつから翌日配達も可能』 シリンクス(株) コンパクトカメラなどのAF用の測光、測距用センサー 三友電子工業株式会社 ミネベア社のベアリングネット販売、個人でも購入可能 個人の趣味(ロボット製作・ミニ4駆・釣り等)に使う人が多いとか ハイテクホビー製品/キーエンス EleKit Main Menu LEGO MINDSTORMS HuMANDATA index Sunhayato The Electronic Cyber Space“共立エレシ

                                                              • 電気回路/HDL/リセットについての考察

                                                                リセット信号の扱い † FPGA 開発を始めた当初(半年前くらい?)、 リセット信号の取り扱いについてあまり深く考えておらず、 「何となくリセットが掛かりそうな回路」を書いて満足していました。 しかし、レーシングなどについて勉強してからよく考えてみると、 リセットには慎重な扱いが必要であることが分かってきて、 ここらで一度考え直そうと思い立ちました。 ところが、調べれば調べるほどいろんなことが出てきて、 ちょっと泥沼状態です・・・ (2010/09/03) 実機での検証もうまく行っているようなので、 始めて読んだときに読みやすいように大幅に書き直しました。 参考にした内容 † 小林芳直著「定本 ASICの論理回路設計」CQ出版社 内容的にはちょっと古い気もしますが、レーシングやメタステーブル、スタティックハザードなど、 一目見ただけでは HDLコードに現れてこない注意事項について勉強するに

                                                                  電気回路/HDL/リセットについての考察
                                                                • JTAG - PukiWiki

                                                                  mm_Top_page CPLDをUSB経由でコンフィグレーションする(FT2232デバイスを使ったJTAG操作、SVF再生について) † このページではFT2232デバイスを使ったJTAG操作についてたっぷり語る。 ↑ JTAGとは † 簡単に言えばシリアルパラレル変換プロトコルである。 詳細な解説はhttp://ja.wikipedia.org/wiki/JTAGなど。 現状FPGA,CPLDのコンフィグやCPUのデバッグに使われることが多い。 さらなるJTAG情報は インターフェース2005年2月号からの連載:JTAG徹底活用研究←死ぬほど読んだ(感謝 その筆者のページ←BLOGは毎日読んでる(多謝 JTAGテストの基礎と応用...絶版だが などを参考にする。 ↑

                                                                  • JTAGとは何か | 特殊電子回路

                                                                    MITOUJTAGとは何か MITOUJTAGとは スクリーンショット 何ができるのか?(機能一覧) バウンダリスキャン可視化 JTAGロジックアナライザ その他の機能 導入するメリット 選ばれる3つの理由 デバッグスパイラルの回避 電子回路が一日で検証可能になる? 他社製品との違い 今後の開発予定(ロードマップ) 製品について 製品一覧表 MITOUJTAG Pro MITOUJTAG BASIC MITOUJTAG Light JTAGチャレンジ基板 (予約受付中) 機能と特徴 更新履歴 ご購入を検討中の方へ ご購入ガイド ご購入に関するQ&A お問合せフォーム お客様の声 サポート サポート・トップ 使い方ガイド(チュートリアル) 実機での使用例 動画でわかる!使い方 使い方PowerPoint New! ダウンロード JTAGについて JTAGとは何か JTAG技術情報 JTAGな

                                                                    • 自作電子回路

                                                                      (その他の項目:FPGA, CPLD, FFT, グラフィック液晶制御、へのリンクはこちら=Top Pageへ) 注:本ページの内容の利用等については、上記にリンクされたTop Pageにある免責事項を参照されたい。 (1)フォトダイオードによる光検出(部品ページも参照) 1-1 フォトダイオードの読み出し回路 1-1-1 基礎編 1-1-2 電流電圧変換回路のノイズ特性 1-1-3 実際の回路1:PDヘッドアンプ 1-1-4 実際の回路2:チタンサファイア・レーザーパルスの検出 1-1-5 実際の回路3:単一電源・簡易型、光パワーメーター 1-2 ナノ秒光パルスからのTTLパルス発生 1-3 光パルス・エネルギー(Jour / pulse)の測定 1-4 4分割フォトダイオード 1-5 PSD (2)微弱電流測定 2-1 高速微弱電流パルス用、ヘッドアンプ (3)電源 3-1 一般的事項

                                                                      • 組み込み用MCU & MPU

                                                                        ◆ 組み込み用LSIはプロセス製造技術の進歩により大容量フラッシュ・メモリ内蔵のマルチ・コアのデバイスが登場しております。組み込み用マイクロプロセッサ Micro Processor(MPU, 通称マイコン)やPC用CPUの種類も年々増えてきました。高性能な高機能なPowerPCやColdFire, DSPなどは大幅な性能向上となっており、また低価格で小型化のPowerPC & RX600, RX200, H8, H8SX, HCS08, PSoC, RL78, 78K0などは低消費電力であり、その応用法も変わるものと思われます。ここでは組込み製品や制御関係での応用できるMCUを取り挙げます。 小ピン・マイコンに関して、次回から別のページで掲示する予定です。 当面は、小ピン・マイコンに関しての記事はこちらのページです。 WhetStoneによる浮動小数点演算ベンチマーク・テストはこちらのペ

                                                                        • 【動画に歴史あり】 24, 自作ハードでインベーダーゲームを作ってみた:不在通知Pのすっとこ工房 - ブロマガ

                                                                          ニコニコ動画への初投稿動画が電子工作物だったけれども、その後ニコニコ動画の可能性を探っていた時には特に電子工作の動画というのは投稿していませんでした。なので、この動画は電子工作系動画の 2本目となります。 当時はまだ電子工作動画というのはニコニコにほとんど定着していなかったはずです。そりゃそうか、適切なカテゴリータグというかカテゴリータグ自体無かった時代だものな。 最初の電子工作キット動画の反応が悪かったことやランキング上位の動画傾向から、ニコニコ動画上で電子工作はエンタテイメントになり得ないとこの頃は思っていました。なのでこの動画も「ニコニコ動画で見て貰う」といった目的では無く、その裏において「ニコニコ動画の外にある目的のために動画を用意する」といった目的で作られています。 このあたりの種明かしについては以前 Blog で書いているのでそちらも合わせてお読みください。 rerofumiの

                                                                            【動画に歴史あり】 24, 自作ハードでインベーダーゲームを作ってみた:不在通知Pのすっとこ工房 - ブロマガ
                                                                          • Intel® Programmable Solutions Group - 半導体事業 - マクニカ

                                                                            Intel® Programmable Solutions Group インテル® プログラマブル・ソリューションズ事業本部 インテル® プログラマブル・ソリューションズ事業本部は、FPGA、SoC、CPLD、電源、ボード ソリューション等、付加価値の高いソリューションを提供している半導体メーカーです。 インテル® のプログラマブル・ソリューションは、電子機器製品の革新と差異化、お客様の市場での成功を、迅速かつコスト効率よく実現するものです。 主な製品 インテル® FPGA インテル® FPGA (Field Programmable Gate Array) は、ハードウェア製品とソフトウェア製品の特長を兼ね備えた LSI (大規模集積回路)です。 ソフトウェアのように機能を自由に変更できますが、ハードウェア処理により CPUよりも低消費電力で、高速に動作します。 インテル® FPGA は

                                                                              Intel® Programmable Solutions Group - 半導体事業 - マクニカ
                                                                            • 入門以前:Verilog-HDL基礎文法最速マスター - ウォーミングアップ

                                                                              // 以前別のところに書いていたんですが、管理の都合上こちらに移動。 // ブックマーク、リンクなどしていただいていた奇特な方はつけなおしてもらえるとうれすぃです。 いろんなプログラミング言語の基礎文法最速マスターというのが流行っているようで、非常に助かっております。ありがとうございます。 見ていると、ハードウェア記述言語がなさそうだったので、ちょっとVerilog-HDLについて書いてみようと思います。入門書を読むときのハードルを下げるぐらいのイメージであちこち端折っていきますので、網羅的な記述やリファレンス的な使い方は期待しないでくださいませ。 はじめに Verilog- HDLはHDL(Hardware Description Language:ハードウェア記述言語)のひとつです。ここでのハードウェアとはデジタル回路のことです。たとえばLSIであるとか FPGA/CPLDといったデバ

                                                                                入門以前:Verilog-HDL基礎文法最速マスター - ウォーミングアップ
                                                                              • category/研究・開発・設計/電気・電子 - ペンギンの杜 〜 Linux ソフト集 〜

                                                                                運営サイト Linux系 Windows系 PC関連 最新更新 recent(20) 2021-06-19 MenuBar 2020-07-03 soft/MultiWriter ISOイメージを複数のUSBメモリに書き込む 2020-05-03 soft/icoutils Windowsのアイコン(*.ico)を取り扱う soft/Jellyfin クロスプラットフォームのメディアサーバー 2020-05-02 soft/OpenPHT オープンソースのメディアセンター soft/Plex 高機能なメディアサーバー 2020-04-23 soft/DeepSpeech Mozillaが開発したテキスト読み上げソフト 2020-04-09 SideBar FrontPage 2020-04-05 soft/ReadyMedia シンプルなメディアサーバー soft/Emby Web経由で再

                                                                                • 電気回路/HDL/リセットについての考察

                                                                                  リセット信号の扱い † FPGA 開発を始めた当初(半年前くらい?)、 リセット信号の取り扱いについてあまり深く考えておらず、 「何となくリセットが掛かりそうな回路」を書いて満足していました。 しかし、レーシングなどについて勉強してからよく考えてみると、 リセットには慎重な扱いが必要であることが分かってきて、 ここらで一度考え直そうと思い立ちました。 ところが、調べれば調べるほどいろんなことが出てきて、 ちょっと泥沼状態です・・・ (2010/09/03) 実機での検証もうまく行っているようなので、 始めて読んだときに読みやすいように大幅に書き直しました。 参考にした内容 † 小林芳直著「定本 ASICの論理回路設計」CQ出版社 内容的にはちょっと古い気もしますが、レーシングやメタステーブル、スタティックハザードなど、 一目見ただけでは HDLコードに現れてこない注意事項について勉強するに

                                                                                    電気回路/HDL/リセットについての考察