並び順

ブックマーク数

期間指定

  • から
  • まで

1 - 37 件 / 37件

新着順 人気順

TSMC'sの検索結果1 - 37 件 / 37件

  • 「iPhone 15 Proの異常な発熱」はA17 Proではなく「設計上の妥協が原因」との指摘

    iPhone 15シリーズは充電中や長時間使用時に端末が異常に発熱することが複数ユーザーから報告されています。異常発熱の原因はiPhone 15 Proに搭載されているSoCの「A17 Pro」にあるのではという声もあったのですが、Apple関連の確度の高いリーク情報を取り扱うアナリストのミンチー・クオ氏が、「設計上の妥協が原因」と指摘しています。 The iPhone 15 Pro series overheating issues are unrelated to TSMC’s advanced 3nm node / iPhone 15 Pro系列的過熱問題,與台積電的3nm製程無關 - 郭明錤 (Ming-Chi Kuo) - Medium https://medium.com/@mingchikuo/the-iphone-15-pro-series-overheating-issu

      「iPhone 15 Proの異常な発熱」はA17 Proではなく「設計上の妥協が原因」との指摘
    • 台湾の半導体メーカー「TSMC」の熊本工場がついに完成、さらに第2工場の建設に約7300億円の補助金の交付を日本政府が決定

      by 李 季霖 台湾に拠点を置く世界最大級の半導体ファウンドリ「TSMC」が日本の熊本県に建設していた工場が、2024年2月24日についに完成し、開所式が行われました。さらに日本政府は、TSMCが進める熊本への第2工場建設に対して最大48億6000万ドル(約7320億円)規模の補助金を交付することを明らかにしています。 Japan takes Taiwan's helping hand on long road to chip revival | Reuters https://www.reuters.com/technology/japan-takes-taiwans-helping-hand-long-road-chip-revival-2024-02-22/ Japan to subsidize US$5 billion for TSMC's Fab2 in Kumamoto htt

        台湾の半導体メーカー「TSMC」の熊本工場がついに完成、さらに第2工場の建設に約7300億円の補助金の交付を日本政府が決定
      • Introducing: Raspberry Pi 5! - Raspberry Pi

        Today, we’re delighted to announce the launch of Raspberry Pi 5, coming at the end of October. Priced at $60 for the 4GB variant, and $80 for its 8GB sibling (plus your local taxes), virtually every aspect of the platform has been upgraded, delivering a no-compromises user experience. Raspberry Pi 5 comes with new features, it’s over twice as fast as its predecessor, and it’s the first Raspberry P

          Introducing: Raspberry Pi 5! - Raspberry Pi
        • 「Intelは大きな脅威ではない」と台湾の半導体メーカーTSMCの創設者が発言、2nmプロセスの開発が順調に進んでいることも明かす

          台湾に本拠を置く世界最大級の半導体メーカー「TSMC」の創設者であるモリス・チャン氏が、TSMCを取り巻く地政学的な変化と半導体産業における競争の激化により、TSMCが困難に直面していることを明かしました。一方で同じく半導体を製造するIntelについて、「TSMCに対する主要な脅威とは見なしていません」と主張しています。 Morris Chang Asserts Intel Foundry Will Remain in TSMC's Shadow | Tom's Hardware https://www.tomshardware.com/news/morris-chang-asserts-intel-will-remain-in-tsmcs-shadow 示警地緣政治趨勢影響 張忠謀:台積電面臨嚴峻挑戰 | 產業熱點 | 產業 | 經濟日報 https://money.udn.com/mo

            「Intelは大きな脅威ではない」と台湾の半導体メーカーTSMCの創設者が発言、2nmプロセスの開発が順調に進んでいることも明かす
          • 台湾の大地震は世界最大の半導体生産企業TSMCの生産ラインに影響を与えたのか?

            by 李 季霖 2024年4月3日、台湾東部沖でマグニチュード7.2の地震が発生しました。土砂崩れが発生し、ビルが傾くなど台湾東部を中心に大きな被害が発生している中、台湾に拠点を置く半導体ファウンドリのTSMCも被害を受けていました。 TSMC Evacuates Factory Areas After Taiwan Earthquake, Tsunami Warning - Bloomberg https://www.bloomberg.com/news/articles/2024-04-03/tsmc-evacuates-production-lines-after-major-taiwan-quake TSMC resumes chip production following biggest earthquake in 25 years — incurred no damage t

              台湾の大地震は世界最大の半導体生産企業TSMCの生産ラインに影響を与えたのか?
            • Groq Inference Tokenomics: Speed, But At What Cost?

              Groq, an AI hardware startup, has been making the rounds recently because of their extremely impressive demos showcasing the leading open-source model, Mistral Mixtral 8x7b on their inference API. They are achieving up to 4x the throughput of other inference services while also charging less than 1/3 that of Mistral themselves. https://artificialanalysis.ai/models/mixtral-8x7b-instructGroq has a g

                Groq Inference Tokenomics: Speed, But At What Cost?
              • Intel Vs. Samsung Vs. TSMC

                Foundry competition heats up in three dimensions and with novel technologies as planar scaling benefits diminish. The three leading-edge foundries — Intel, Samsung, and TSMC — have started filling in some key pieces in their roadmaps, adding aggressive delivery dates for future generations of chip technology and setting the stage for significant improvements in performance with faster delivery tim

                  Intel Vs. Samsung Vs. TSMC
                • Intelは次世代プロセス開発でTSMCに先行し、性能面でも後発のものより優位性を保つと主張 | TEXAL

                  IntelはTSMCやSamsungといったライバルに追いつき追い越すために、最先端ノードの開発に賭けている。Intel 20A(2nm)や、18A(1.8nm)ノードは他社に先駆けての導入を目指しており、既にそのための設備導入も着々と進めている。 そしてIntelはその性能にも自信を持っているようだ。Intel CEOのPat Gelsinger氏はBarron’sのインタビューの中で、2024年末に立ち上げられるIntel 18Aプロセス・ノード(実質的には1.8nm)は、1年先行するにもかかわらず、後発で有利なはずのTSMCの2nmチップを上回る可能性があると主張している。 こうした他社のプロセス技術に対する自社製品の優位性を主張する発言はIntelのみではない。TSMCも今後導入されるN3Pと呼ばれる最適化された3nmノードが、Intelの18Aに匹敵する電力性能領域を達成すると主

                    Intelは次世代プロセス開発でTSMCに先行し、性能面でも後発のものより優位性を保つと主張 | TEXAL
                  • TSMCの第1四半期の売上高が前年同期比16.5%増の約2兆8000億円に到達、2024年には売上高が20%以上増加し280億~320億ドルの設備投資が見込まれると予想

                    by 李 季霖 TSMCが2024年3月分の月例報告を行い、1月から3月にかけてTSMCの売上高は5926億4000万台湾ドル(約2兆8000億円)に到達し、市場の予想を上回る前年同期比16.5%の増収となったことを明らかにしました。 TSMC March 2024 Revenue Report https://pr.tsmc.com/english/news/3125 TSMC’s Sales Surge Most Since 2022 After Riding AI Chip Boom - Bloomberg https://www.bloomberg.com/news/articles/2024-04-10/tsmc-s-sales-surge-most-since-2022-after-riding-ai-chip-boom TSMC's Q1 revenue rise beats

                      TSMCの第1四半期の売上高が前年同期比16.5%増の約2兆8000億円に到達、2024年には売上高が20%以上増加し280億~320億ドルの設備投資が見込まれると予想
                    • An Interview with AMD CEO Lisa Su About Solving Hard Problems

                      Good morning, This week’s Stratechery Interview is with AMD CEO Lisa Su. Su began her career at Texas Instruments, after earning her PhD in electrical engineering at MIT, where she played a significant role in developing silicon-on-insulator transistor technology. Su then spent 12 years at IBM, where she led the development of copper interconnects for semiconductors, served as technical assistant

                        An Interview with AMD CEO Lisa Su About Solving Hard Problems
                      • The AMD Zen 5 Microarchitecture: Powering Ryzen AI 300 Series For Mobile and Ryzen 9000 for Desktop

                        The AMD Zen 5 Microarchitecture: Powering Ryzen AI 300 Series For Mobile and Ryzen 9000 for Desktop Back at Computex 2024, AMD unveiled their highly anticipated Zen 5 CPU microarchitecture during AMD CEO Dr. Lisa Su's opening keynote. AMD announced not one but two new client platforms that will utilize the latest Zen 5 cores. This includes AMD's latest AI PC-focused chip family for the laptop mark

                          The AMD Zen 5 Microarchitecture: Powering Ryzen AI 300 Series For Mobile and Ryzen 9000 for Desktop
                        • [News] TSMC to Expedite Production of NVIDIA’s Specialized Chips for China | TrendForce Insights

                          [News] TSMC to Expedite Production of NVIDIA’s Specialized Chips for China According to a news report from IJIWEI, sources have revealed that NVIDIA has placed urgent orders with TSMC for the production of AI GPU destined for China. These orders fall under the category of “Super Hot Run” (SHR), with plans to commence fulfillment in the first quarter of 2024. Respond to the United States implementi

                            [News] TSMC to Expedite Production of NVIDIA’s Specialized Chips for China | TrendForce Insights
                          • Goldman Sachs Research Newsletter

                            GENAI:TOOMUCHSPEND, TOOLITTLEBENEFIT? ISSUE 129 | June 25, 2024 | 5:10 PM EDT ”$$$$$P$$$$$ $$$$ $ $ $ $ GlobalMacro Research Investors should consider this report as only a single factor in making their investment decision. For Reg AC certification and other important disclosures, see the Disclosure Appendix, or go to www.gs.com/research/hedge.html. The Goldman Sachs Group, Inc. Tech giants and bey

                            • Hot Chips 2023: AMD’s Phoenix SoC

                              AMD’s mobile and small form factor journey has been arduous. In the early 2010s, the company’s Bulldozer-derived CPU cores stood no chance as Intel made massive gains in power efficiency. Zen narrowed the gap, but AMD still had a lot of work to do. Idle power consumption was still worse than Intel’s. The GPU side was stronger thanks to AMD’s acquisition of ATI, but AMD’s integrated GPUs were often

                                Hot Chips 2023: AMD’s Phoenix SoC
                              • TSMC 3nmが微妙なのはFinFETの限界? 一方でサムスンはGAA 3nmでTSMCを猛追へ

                                TSMC 3nmが微妙なのはFinFETの限界? 一方でサムスンはGAA 3nmでTSMCを猛追へ 2023 9/27 TSMC 3nmが微妙なのはFinFETの限界?一方でサムスンは4nmで苦労したGAAを3nmに適用する事でTSMCを猛追へ TSMCではここ最近発売されているスマートフォン向けSoCからPC向けのCPUやGPUにおいて採用されており、その主な理由は競合のサムスンに比べて高い歩留りと性能でした。特にTSMC 7nmや5nmなどは採用例が多く、その次世代に当たる3nmについても2023年の早い段階で量産化に成功し、この第一弾がiPhone 15 Proに内蔵されているApple A17 Pro SoCとなっています。 しかし、このiPhone 15 Proについてはベンチマークではあまり高いスコアを記録する事に失敗している他、15分程度ゲームをプレイするとサーマルスロットリ

                                  TSMC 3nmが微妙なのはFinFETの限界? 一方でサムスンはGAA 3nmでTSMCを猛追へ
                                • Macに続きWindowsも…インテル〝終わり〟の始まり | AppBank

                                  AppleがARMベースの自社製チップに移行してから数年が絶ちましたが、最近ではWindows PCでも、インテル製CPUではなくARM製CPUを搭載する流れが加速していると報じられています。 *Category:テクノロジー Technology Windowsの「インテル脱却」を進めるマイクロソフト Appleは2020年にARMベースの自社開発チップ「M1」を発表して以来、インテル製CPUを登載したMacのラインナップを廃止してきました。現在ではすでに全モデルがMシリーズチップに置き換わっています。テックメディア「9to5Mac」はこの移行の主な理由として、Appleはインテルの「開発ペースの遅さ」とアップグレードサイクルに不満があったと指摘しています。 » More Windows laptops to be ‘ARM Inside’ as Microsoft follows Ma

                                    Macに続きWindowsも…インテル〝終わり〟の始まり | AppBank
                                  • Apple Is America’s Semiconductor Problem - Semiconductor Digest

                                    By Matt Stoller, Director of Research at the American Economic Liberties Project and Laurel Kilgour, Research Manager at the American Economic Liberties Project With the 2022 CHIPS Act, the federal government made a massive investment to reshore semiconductor chip fabrication. Why? Covid-era shortages of semiconductor chips had revealed America’s shocking dependence on brittle international supply

                                    • Japan's chip reboot: TSMC, Samsung, Micron pave way for silicon revival

                                      Taiwanese chip titan TSMC's new plant in Kumamoto, southern Japan, opened on Feb. 24 to much fanfare. The factory is part of a flow of new foreign chip investment into Japan, spurred on by government subsidies. (Photo by Arisa Moriyama) The Big StoryJapan's chip reboot: TSMC, Samsung, Micron pave way for silicon revivalAfter 20 'lost years,' subsidies help draw top-tier foreign chipmakers to inves

                                        Japan's chip reboot: TSMC, Samsung, Micron pave way for silicon revival
                                      • 北森瓦版 - TSMCの2nmプロセスとそれ以降のスケジュール

                                        北森瓦版 - Northwood Blog (Author : 北森八雲. Since July 10, 2006.) TSMC 2 nm Node to Debut in 2025 with Apple SoCs for the iPhone 17 Pro(TechPowerUp) TSMC Showcased 2nm Chips To Apple With Mass Production Scheduled For 2025, iPhone 17 Pro Likely To Be An Early Candidate(WCCF Tech) TSMC’s 1.4nm Chips Named A14 Could See Daylight In 2027 After Company Introduces 2nm Chips(WCCF Tech) TSMCの2nm級ノードはN2として2025年

                                        • TSMC、熊本に第2工場建設へ-トヨタやソニーGなど出資

                                          Taiwan Semiconductor Manufacturing Co. (TSMC)’s new factory in Kikuyo, Kumamoto Prefecture, Japan, on Tuesday, May 9, 2023. The flood of investment and the influx of workers in semiconductor-related subsidies are overwhelming Kikuyo, the farm town of 43,000, causing chronic gridlock, shortages in housing and services and stretching commute times to the chip industrial park to 90 minutes or more. P

                                            TSMC、熊本に第2工場建設へ-トヨタやソニーGなど出資
                                          • 北森瓦版 - “Arrow Lake”はTSMC N3、“Lunar Lake”はTSMC N3Bが用いられる

                                            Intel CEO Confirms TSMC’s Process Nodes To Power Next-Gen CPUs: N3 For Arrow Lake & N3B For Lunar Lake(WCCF Tech) IFS Direct 2024イベントのセッションで、Pat Gelsinger氏がTSMCとの協業について説明し、“Arrow Lake”や“Lunar Lake”でTSMCの最先端プロセスを使用することを明らかにした。 Pat Gelsinger氏はTSMCへの注文を増やしたと説明した。TSMCは“Arrow Lake”と“Lunar Lake”のCPU, GPU, NPU chipのオーダーを受けている。そしてこれらはN3Bプロセスで製造される。 GPU tileは“Meteor Lake”もTSMC N5を使っているので、“Arrow Lake”のGPU t

                                            • 北森瓦版 - “Zen 5”に関する怪しげな噂―製造プロセスや時期、CineBenchの性能 等

                                              北森瓦版 - Northwood Blog (Author : 北森八雲. Since July 10, 2006.) ◇“Zen 5”は第2四半期製造開始、第3四半期大量生産へ―製造プロセスは3nmも? AMD Zen 5 CPUs Reportedly Made On TSMC’s 3nm Process In Q2, Mass Production In Q3(WCCF Tech) Ultra-micro processing CPU industry TSMC welcomes large orders Zen 5 new platform will be unveiled(UDN) TSMCの5nmプロセス以下の最先端プロセスのオーダーは埋まってる状態で、その大手顧客の1つとなるAMDがCPUの製造のため駆け込んできたような状態だ。同社は今年に“Nirvana”のコードネームでも

                                              • TSMCの売上高見通し、市場予想上回る-半導体需要安定化の兆し

                                                半導体の受託生産最大手、台湾積体電路製造(TSMC)は19日、アナリスト予想を上回る10-12月(第4四半期)の売上高見通しを発表した。中国経済の不均衡な回復にもかかわらず、半導体市場の需要改善への期待が反映された。 米国のエヌビディアやアップルを主要顧客とするTSMCは、2023年の設備投資が320億米ドル(約4兆7900億円)になるとの見通しを示した。これは先に示していた予想レンジの下限だが、アナリスト予想の305億米ドルを上回っている。 TSMCの幹部は同日、新型コロナウイルス禍後の1年余りにわたる低迷を経て需要が安定し、底打ちに近づいている兆しがみられると投資家に語った。 7-9月(第3四半期)の純利益は2110億台湾ドル(約9755億円)と、市場予想を上回った。 原題:TSMC’s Outlook Beats Estimates After Chip Demand Stabili

                                                  TSMCの売上高見通し、市場予想上回る-半導体需要安定化の兆し
                                                • 台湾の大地震が世界の半導体産業に大きな影響を与える | XenoSpectrum

                                                  4月3日8時58分頃(日本時間)、台湾東部の花蓮県沖およそ25キロを震源とするマグニチュード7.4の地震が発生した。台湾に加え、同じ海に接する日本とフィリピンでは津波警報が発令された。台湾の消防当局は午後3時までに4人が死亡し、711人がけがをしたと発表している。 当局の発表によれば、これは1999年に発生したマグニチュード7.7の地震以来で最大の地震である。台湾東部の花蓮県で震度6強の揺れを観測したほか、北東部の宜蘭県などで震度5強、北部の台北市や新北市、中部の台中市など広い範囲で震度5弱の揺れを観測しているという。 台湾メディアによると、午後3時までに少なくとも26棟の建物が倒壊しているという。建物の中に閉じ込められている人も数多くおり、9万1000世帯以上が停電している。台北での大きな揺れにより、世界有数の高層ビルである台北101が揺れている動画もSNSでは共有されている。 7.4

                                                    台湾の大地震が世界の半導体産業に大きな影響を与える | XenoSpectrum
                                                  • チップレットCPU「AmpereOne-3」、TSMCの3nmノードで256コア、PCIe 6.0とDDR5を搭載、来年発売へ - 自作ユーザーが解説するゲーミングPCガイド

                                                    CPU情報 その他 チップレットCPU「AmpereOne-3」、TSMCの3nmノードで256コア、PCIe 6.0とDDR5を搭載、来年発売へ Ampere・コンピューティングは、TSMCの3nmプロセスノードを活用し、最大256コアを提供するCPU「AmpereOne-3」を来年リリースする。 AmpereOne-3 CPU、TSMCの3nmとチップレット技術を活用し、データセンター向けに最大256コアを提供 Ampere・コンピューティングは、搭載コア数が最も多いことで知られるクラウド向けプロセッサのラインアップで市場を牽引して以来、市場、特にクラウド・セグメントに深く関わってきた。同社がリリースしたAmpereOneプロセッサなどは、192個の物理コア、TDP 350W、8つのDDR5メモリ・チャネルを搭載し、インテルのXeon ScalableやAMDのEPYCなどと競合してい

                                                      チップレットCPU「AmpereOne-3」、TSMCの3nmノードで256コア、PCIe 6.0とDDR5を搭載、来年発売へ - 自作ユーザーが解説するゲーミングPCガイド
                                                    • TSMCの2023年のトップカスタマー - Vengineerの戯言

                                                      はじめに 2022年のTSMCのトップカスタマー(7社)については、昨年の6月にこのブログにアップしました。 vengineer.hatenablog.com 2023年の情報がXの投稿に流れてきたので、記録します。 TSMC’s Top 7 Customers in 2023, media report, citing unnamed investors 1. Apple 25% of revenue 2. Nvidia 11% 3. AMD 7% 4. Qualcomm 7% 5. MediaTek 5% 6. Broadcom 5% 7. Intel 4% Others: 36% 1/2 $TSM $AAPL $NVDA $AMD $QCOM $AVGO $INTC— Dan Nystedt (@dnystedt) 2024年4月10日 2023年は、NVIDIAが5位から2位に 一

                                                        TSMCの2023年のトップカスタマー - Vengineerの戯言
                                                      • TSMC、売上高の5割強が高性能チップ-AI向け比重高まる

                                                        半導体の受託生産最大手、台湾積体電路製造(TSMC)では現在、人工知能(AI)需要の高まりで急成長している高性能コンピューティング(HPC)向けチップが売上高の5割強を占めている。 TSMCは18日、4-6月(第2四半期)のウエハー売上高に占めるHPCグループの割合が52%と、初めて5割を超えたと発表した。長くアップルのiPhoneなどスマートフォン業界に大きく依存してきた同社は現在、AIアクセラレーターの主要サプライヤーへと急速にシフトしている。 TSMCはエヌビディアとアドバンスト・マイクロ・デバイセズ(AMD)のAIトレーニングチップのほか、クアルコムの「Copilot+ PC」向けAI搭載ラップトッププロセッサーを製造している。 TSMC's High-Performance Computing Chips Are Now Half of All Sales AI has tran

                                                          TSMC、売上高の5割強が高性能チップ-AI向け比重高まる
                                                        • Apple、TSMC製2nmの最初の顧客となり、2025年のiPhone 17で採用予定 | TEXAL

                                                          台湾のチップメーカーTSMCの2nmプロセスが正式に量産に移されるまで、同社の計画ではまだ2年程かかる事が分かっている。場合によっては遅延することもあるかも知れない。だが1つ確実なことがある。このTSMCの最先端ノードを使って最初にチップを製造する企業は、これまで通りAppleのようだ。 AppleがTSMCの最新技術の最初の顧客になるのは最早伝統と言える。Appleは今回も最新の技術を使う特権を手にし、おそらく来年のiPhone 17のラインナップに組み込むことになるだろう。 これは信頼できる情報筋がDigiTimes(via MacRumors)に語った内容に基づく。それによると、Appleは2nmチップをiPhone、Mac、iPad、その他のデバイスに幅広く統合したいと考えているというとのことだ。 TSMCは2025年後半に2nmチップを量産する見込みだ。つまり、それ以前に発売され

                                                            Apple、TSMC製2nmの最初の顧客となり、2025年のiPhone 17で採用予定 | TEXAL
                                                          • Google Pixel 10搭載のTensor G5はTSMC 3nmを採用。性能大幅向上?

                                                            Google Pixel 10搭載のTensor G5はTSMC 3nmを採用。性能大幅向上? 2024 5/18 Google Pixel 10搭載のTensor G5はTSMC 3nmを採用。同世代SoCと競合へ? GoogleのPixelに搭載されているTensorプロセッサはサムスンのExynosをベースに開発されている関係から最新世代のTensor G3に至るまで、同時期に登場しているQualcommのSnapdragonやMediaTekのDimensityに対して性能面で大きく劣るという状況が続いていました。 また、Google側もサムスンにプロセッサを開発してもらうため自由度が少なく、ここ最近Pixelのシェアも伸びていることからGoogleは2025年に発売するPixel 10搭載のTensor G5からプロセッサを完全独自開発する事を計画していますが、この製造プロセスに

                                                              Google Pixel 10搭載のTensor G5はTSMC 3nmを採用。性能大幅向上?
                                                            • iPhone16用A18のNeural EngineはM4超え!?強力なAI実行実現 - iPhone Mania

                                                              A18/A18 ProのNeural Engineの性能がM4超え!? Mapple_gold氏(@MappleGold)によれば、iPhone16シリーズに搭載されるA18およびiPhone16 Proシリーズに搭載されるA18 ProのNeural Engineの性能は、iPad Proに搭載されたM4のそれを上回るとのことです。 M4はA17 Proをベースに開発されていますので、それよりも世代が新しいA18/A18 Proは、現時点でApple史上最速のNeural Engineを搭載し最大38兆回/秒の演算処理を可能にしているM4よりも高性能なチップになる見込みです。 処理能力や電力効率よりも、AI関連機能実行性能をアピールか Neural Engineの性能強化に伴い、A18/A18 Proでは処理能力や電力効率の高さについて、Appleはこれまでほどアピールしない可能性が指摘

                                                                iPhone16用A18のNeural EngineはM4超え!?強力なAI実行実現 - iPhone Mania
                                                              • TSMC、次世代2nmプロセスを2025年に、1.4nmプロセスを2027年に生産開始との報道 | XenoSpectrum

                                                                TSMCは昨年AppleのiPhone向けA17 Proチップや、Mac向けのM3チップで本格的に3nmプロセスの生産に乗り出したが、既にその先の2nmや1.4nmと言った次世代プロセスの開発を進めていることを明らかにしている。2nmプロセスの最初のチップは2025年の登場が明らかにされているが、今回DigiTimesによって、その先の1.4nmの具体的な時期についてが報じられている。 DigiTimesの新しいレポートによると、TSMCは2nmを2025年に、1.4nmを2027年頃に生産開始する予定だという。これらの最先端ノードは、これまでの全ての例にならい、Appleがその最初の顧客となる可能性が高いと見られる。 DigiTimesによると、TSMCは2nm試験生産を今年後半に開始し、2025年には量産体制に入るようだ。TSMCは最終的には2027年頃に1.4nmの生産を開始する予定

                                                                  TSMC、次世代2nmプロセスを2025年に、1.4nmプロセスを2027年に生産開始との報道 | XenoSpectrum
                                                                • TSMCの売上高増加、AI半導体の需要旺盛-業界回復近いこと示唆

                                                                  半導体受託生産最大手、台湾積体電路製造(TSMC)の売上高は1月に増加。人工知能(AI)半導体の旺盛な需要が消費者向け電子機器製品の継続的な不振を補った。 7日の発表によると、米アップルやエヌビディアのサプライヤーであるTSMCの先月の売上高は前年同月比7.9%増の2157億9000万台湾ドル(約1兆円)。 長く待ち望まれていた業界の回復が近いことがあらためて示唆された。ブルームバーグ・インテリジェンス(BI)によると、最先端技術やAI半導体でリードするTSMCは、需要拡大に伴い同業他社を上回る業績となることが見込まれる。 関連記事: TSMC、熊本に第2工場建設へ-トヨタやソニーGなど出資 原題:TSMC’s Monthly Sales Rise in Latest Sign of Sector Recovery(抜粋)

                                                                    TSMCの売上高増加、AI半導体の需要旺盛-業界回復近いこと示唆
                                                                  • 「iPhone 15」充電中は「熱くて触れない」との報告、原因がヤバい | AppBank

                                                                    先日発売されたばかりの「iPhone 15」シリーズですが、一部モデルでは発熱問題が発生しているようです。この問題について、Appleに詳しいアナリストのミンチー・クオ氏が原因を指摘しています。 *Category:テクノロジー Technology *Source:9to5Mac ,Android Authority ,medium.com/@mingchikuo 「iPhone 15 Pro」の発熱問題、原因は「設計の妥協」 発熱の問題は主に「iPhone Pro Max」モデルで報告されています。テックメディア「9to5Mac」の3Dデザイナーであるイアン・ゼルボ氏は、購入した「iPhone 15 Pro Max」について、充電中は「ほとんど熱くて触れない」と述べました。 My iPhone 15 Pro Max is almost too hot to touch while fa

                                                                      「iPhone 15」充電中は「熱くて触れない」との報告、原因がヤバい | AppBank
                                                                    • AI Cloud Chipの5社 (NVIDIA, AMD, Intel Habana, Google, AWS) - Vengineerの妄想(準備期間)

                                                                      はじめに Al Cloud Chip をまとめてみます。 NVIDIA AMD Intel Habana Google AWS の5社を取り上げます。 何故?Startupを取り上げないのかは、TSMC N7/N6 => N5/N4 => N3 に移行しているものの、 この記事を書いた時は、Startup は N7 までだからです。SambaNova SystemsとCerebras SystemsはN5のプロダクトを出してきましたが。 さっくとまとめると、 NVIDIA : A100-80GB (N7) => H100-80GB, GH200-96GB, GH200-141GB, H200-141GB (N4) => B100/B200-192GB (N4P) AMD : MI250X-128GB (N6) => MI300X-192GB (N5/N6) => MII350-288GB

                                                                        AI Cloud Chipの5社 (NVIDIA, AMD, Intel Habana, Google, AWS) - Vengineerの妄想(準備期間)
                                                                      • GeForce RTX 5000シリーズはRTX 4000シリーズと同じ5nm系プロセス採用。トランジスタ密度は改良で30%向上、コスパ向上?

                                                                        今回Blackwellに採用されるのはNVIDIAは『自社向けにカスタマイズされたTSMC 4NP』と発表はしていますが、TSMCのプロセスで言うところのN4Pになると考えられます。 TSMCの製造プロセスは2024年3月時点の最先端プロセスはTSMC 3nm世代のN3Bで、N4やN4Pなどは5nm世代の改良版にあたるプロセスになっています。 GB202 will use the same process node as GB100. I must clarify once again that TSMC 4N(vidia) is based on TSMC 5, not 4nm. I'm sorry I cannot match Jensen's naming with TSMC's naming. We need professional chip analysis to determ

                                                                          GeForce RTX 5000シリーズはRTX 4000シリーズと同じ5nm系プロセス採用。トランジスタ密度は改良で30%向上、コスパ向上?
                                                                        • TSMC株が6月以来10%安、時価総額11兆円減-市場は一段安を警戒

                                                                          半導体ファウンドリー(受託生産)最大手、台湾積体電路製造(TSMC)株の時価総額は、6月半ば以降アジアの銘柄の中で最も大きく減少している。投資家が半導体セクターの低迷の長期化に身構える中、株価下落はまだ終わらないかもしれない。 TSMC株は6月の高値以降に約10%下落し、時価総額720億ドル(約10兆8000億円)が吹き飛んだ。マクロ環境や世界的な家電需要の軟調を巡る懸念が広がったためだ。ここ数カ月にボラティリティー・スキューが上昇し続けていることは、TSMC株がさらに値下がりする可能性を示唆している。 人工知能(AI)に関する世界的な熱狂を背景に、TSMC株は昨年10月から今年6月まで約60%上昇。ただ、トレーダーらは、特にスマートフォンやパソコン(PC)事業が回復しない限り、AIを巡る熱狂がどれだけ利益に貢献するかについて慎重姿勢を強めている。高性能AI半導体の注文でさえ、予想より速い

                                                                            TSMC株が6月以来10%安、時価総額11兆円減-市場は一段安を警戒
                                                                          • 手堅いアプデになりそう。10月4日のGoogle発表会&Pixel 8に期待すること

                                                                            端末背面の特徴的なカメラ周りも、そのままデザインキープされているようです。変わりそうなのは、ディスプレイがエッジぎりぎりまでフラットになりそうなことくらい。 他に外観にも関係することで変更の可能性があるのがSIMトレイ。 Pixel 8/ 8 ProからeSIMのみ対応で、物理SIMは不可、SIMトレイはなくなるかもという噂がありました。が、現状はPixel 7と同じく、eSIMと物理SIM両方に対応する(=SIMトレイあり)が有力みたい。 公式が一瞬公開した画像。男性が手にしているのはPixel 8 Pro Image: Mishaal Rahman / Googleカラバリは折りたたみスマホのPixel Foldと同じく、白系のポーセリンと黒系のオブシディアンが予想されています。Pixel 8シリーズのスペシャルカラーとしてもう1色はあっていいはずで、そこはライトブルー説が有力です。

                                                                              手堅いアプデになりそう。10月4日のGoogle発表会&Pixel 8に期待すること
                                                                            1