タグ

ブックマーク / ascii.jp (89)

  • Ryzen Threadripper 7000シリーズのターゲットはAMDの熱狂的なファン AMD CPUロードマップ (1/3)

    ここのところインテルの話が続いていたので、ひさびさのAMDである。10月19日、AMDはRyzen Threadripper 7000およびRyzen Threadripper Pro 7000 WXシリーズの詳細を発表した。発売開始は11月ということでもう少し先であるが、こちらの詳細を説明したい。 Ryzen Threadripper 7000(わかりづらいので、以後はAMDが説明会の際に使っていたRyzen Threadripper 7000 HEDTという言い方を利用する)とRyzen Threadripper Pro 7000 WXはどちらもZen 4、つまりGenoaベースのEPYC 9004シリーズをベースとしたハイエンドデスクトップおよびワークステーション向け製品という位置づけになる。 Pro 7000 WXのメモリーは8chに制限 理由は12chにするとE-ATXマザーに収

    Ryzen Threadripper 7000シリーズのターゲットはAMDの熱狂的なファン AMD CPUロードマップ (1/3)
    daishi_n
    daishi_n 2023/10/23
    E-ATXマザーボードに載るなら藤井聡太八冠は自作できそうだけど、AMDがパーツではなく完成品でスポンサーしてそう。
  • Meteor LakeのGPU性能はRaptor Lakeの2倍 インテル CPUロードマップ (1/3)

    今回でMeteor Lakeの話はいったん終了である。残るのはGPUとSoCまわりとなる。まずはGPUから説明しよう。 Xe-LPGはXe LPの強化版? Xe LPと比較して2倍の性能と言うけれど…… Meteor Lakeに搭載されるGPUはXe-LPGとなる。Raptor LakeまでのGPUはXe LPベースであり、その意味では新アーキテクチャーの搭載になる。 Meteor Lakeに搭載されるGPUのXe-LPG。Xe LPと比較して2倍の性能というのは嘘ではないが、正確でもない。このあたりは後述する Xe LPGは連載579回のロードマップには存在しない。構造的にはXe LPの強化版というよりはXe HPGの低消費電力向けという扱いになるかと思われるのだが、インテル的にはXe LPの強化版という説明の仕方をしている。

    Meteor LakeのGPU性能はRaptor Lakeの2倍 インテル CPUロードマップ (1/3)
    daishi_n
    daishi_n 2023/10/16
    ディスプレイコントローラをSoCタイルに移してもSoCタイルにメモリコントローラがあるのでメモリは読めるし動画再生だけならSoCで足りるのか。
  • Intel 4は歩留まりを高めるためにEUVの工程を減らしている インテル CPUロードマップ (3/3)

    Meteor Lakeのパターン形成はEUVではなく ArF+液浸のSAQPを使っている公算が高い 今回基調講演そのものではあまりプロセスに関しては新しい話はない。ただすでにIntel 3のサンプリングが開始されていることが公開されたのと、Intel 18Aに関してHigh-NAのステッパーが導入されるのが改めて明言された。 High NAのステッパーは連載626回で触れたが、現在のEUVステッパーはNA(開口値)が0.33であり、これでは解像度が13nmほどになるというのは連載718回で説明した。 High-NAはこの開口値を0.55まで高めた機種で、これなら解像度が8nmあたりまで短縮できるのだが、スケジュール的にIntel 18Aには間に合わないと見られていた。 今回の発表は、Intel 18Aを使ってこのHigh-NAのステッパーを導入し(これは量産ラインではなく、開発ラインでIn

    Intel 4は歩留まりを高めるためにEUVの工程を減らしている インテル CPUロードマップ (3/3)
    daishi_n
    daishi_n 2023/09/25
    Intelが10nmでコケた影響はIntel 4でも残ってるのか。EUV露光装置の弾数起因ならTSMCに追いつかんよね
  • Meteor Lakeは歩留まりが50%でも月産約36万個 インテル CPUロードマップ (1/2)

    8月21日から25日にかけて、マレーシアでIntel TECH tour.MYが開催された。5日間と長いのは参加人数が多すぎて一度に回せないからのようで、APJ(Asia Pacific Japan)組は前半の21~23日、アメリカ/ヨーロッパ組は23~25日にそれぞれツアーに行っている。 このツアーにはジサトラユージ氏も参加しており、詳細なレポートはユージ氏の方からあがることを期待している。ではお前はなにをレポートするつもりだ? という話だが、この中で説明があったMeteor Lake関連の話を説明したい。 余談だが、次回もMeteor Lakeの話になる。というのは日時間で8月28日からスタートするHot Chips 2023でこのMeteor Lakeの詳細が公開されることがすでに予告されているためである。こちらの内容は次回お届けしたい。ただその前に、なぜマレーシアなのかを簡単に説

    Meteor Lakeは歩留まりが50%でも月産約36万個 インテル CPUロードマップ (1/2)
    daishi_n
    daishi_n 2023/08/28
  • インテルの新命令セットでついに16bitモードが廃止に (1/3)

    前回拡張命令の説明をしたのは連載25回なので、14年ぶり(!)である……と書いて、この連載がもう10年を軽く超えたことにあらためて気がついた。そりゃ筆者も年をとるわけだ。 それはともかく、今回紹介するのは相次いでインテルが発表したx86(というよりx64)の拡張命令である。具体的にはX86-SとAPX、それとAVX10である。これらについて順に説明していきたい。 16bitモードを廃止して64bitモードに移行する提案「X86-S」 X86-Sは2023年4月に発表された、インテルによる16bitモード廃止に関する提案(Proposal)である。あくまで提案であって、今すぐ具体的に実装するという話ではないのだが、長期的にインテルとしては16bitモードを廃止したい、という意向を示したものだ。 そもそも現状のWindowsの場合、64bit版では16bitバイナリーが一切動作しない。マイクロ

    インテルの新命令セットでついに16bitモードが廃止に (1/3)
    daishi_n
    daishi_n 2023/08/07
    要インストールですが32bit版Win10はNTVDMも動作しますよ。 https://bit.ly/47lgycy REX2プリフィクスは16bit用の命令を置き換える、ってのはx64のREXプリフィクスと同じ手法か https://bit.ly/42W3KXL AMDとしては要APX対応になりそう
  • データセンターの所在地ってやっぱり書いてはいけないのか?

    いろいろなところからマサカリが飛んでくるのはわかっているが、以前から感じていた疑問について書いてみたい。「データセンターの所在地ってやっぱり書いてはいけないのか?」である。だって、ググれば所在地は出てくるんですよ。いろいろ秘密の多いデータセンターだが、インフラ界隈での内輪受けみたいな感じになっていやしませんかね。 実は制約の多いデータセンター取材 ITインフラ系の記者は、たまにデータセンター見学ツアーに招待される。エクイニクスやさくらインターネット、NTTコミュニケーションズなど、私も相当データセンターは見ている方だ。Coltテクノロジー(旧KVH)はシンガポールまで、IIJはコンテナ型データセンターを見に島根まで行っている。IDCフロンティアに至っては北九州も、白河も、府中も見ている。 5月には大阪までデジタルエッジのデータセンターを見にいった。関西のデータセンター事情までいろいろ説明し

    データセンターの所在地ってやっぱり書いてはいけないのか?
    daishi_n
    daishi_n 2023/07/29
    AWSは秘匿してるよね。つーてもアベイラビリティゾーンが単一のデータセンターで構成されているわけでもないし、CloudFrontログ記載のiCAOから地域は推測できるけど
  • 6万円台は破格!HDMI 2.1対応4K・144Hz最安ゲーミングディスプレー、PS5&PCでいかが (1/4)

    FPSやTPSといった一瞬の動作が勝敗を分けるゲームでは、高リフレッシュレートに対応したディスプレーがトレンドになっている。高性能ビデオカードで1フレームでも多く描画し、そのフレームを1枚でも多く表示したほうが有利になるからだ。その一方で、RPGやRTSなどではなるべく広い画面でプレイしたいという需要が高い。 その両方を満たすディスプレーというと、高解像度かつ高リフレッシュレートに対応した製品ということになる。今回紹介するJAPANNENXTの「JN-IPS28G144UHDR」は、そういったニーズにぴったり寄り添うモデルだ。 しかも、4K・144Hz、HDMI 2.1というゲーミングにおけるトレンド仕様をしっかり押さえて、なんと価格は6万円台。若干不安になる安さだが、しっかりレビューしていきたいと思う。 4K・144Hzの28型ゲーミングディスプレーが6万円台 JAPANNEXTの4Kゲ

    6万円台は破格!HDMI 2.1対応4K・144Hz最安ゲーミングディスプレー、PS5&PCでいかが (1/4)
    daishi_n
    daishi_n 2023/07/26
    ACアダプタ式のモニタは不要だなぁ。今のところ条件を満たせるのがアイオーデータくらいしかない。DellもACアダプタ内蔵だけど32インチは大きすぎる。5K-27インチが欲しい
  • CPU革命! 裏面電源供給技術PowerViaのテスト実装に成功 インテル CPUロードマップ (1/3)

    6月11日から京都で開催されていた2023 Symposium on VLSI Technology and Circuitにおいて、インテルは基板裏面から電源を供給する配線方式「PowerVia」関連の内容を2つ発表した。 1つはT1-1の“E-Core implementation in Intel 4 with PowerVia(Backside Power) Technology”、もう1つがT6-1の“Intel PowerVia Technology: Backside Power Delivery for High Density and High-Performance Computing”である。どちらも似てはいるのだが、後者がPowerVia全体の発表で、前者はこれをIntel 4プロセスに移植した上で、E-coreに実装してみた結果を示したものである。 実はこの件に関

    CPU革命! 裏面電源供給技術PowerViaのテスト実装に成功 インテル CPUロードマップ (1/3)
    daishi_n
    daishi_n 2023/07/20
    Eコアという比較的低クロック、低発熱なのを選んでるのは試験用だからだよね。大原さんの言う通りPコアやGPUみたいに高発熱なのは今後の課題かな
  • 「次世代ゲーム機の覇者は、ゲームキューブです」 任天堂株式会社 取締役経営企画室室長 岩田聡氏 月刊アスキー 2001年9月号 Key personインタビュー

    岩田聡(いわた さとる)氏プロフィール 1959年12月生まれ。北海道出身。高校時代からプログラミングに親しむ。'82年東京工業大学工学部情報工学科卒業後、ハル研究所入社。プログラマーとして任天堂のゲームソフト開発などに携わる。'93年に同社代表取締役に就任。'99年よりハル研究所相談役就任と同時に、任天堂にて現職。「バルーンファイト」('85年/ファミコン用)、「星のカービィ」シリーズ('92年/ゲームボーイ用ほか)、「MOTHER2ギーグの逆襲」('84年/スーパーファミコン用)などを手がけた(いずれも発売は任天堂)。 「ゲームキューブ」という商品名は、デザイン決定後の形状から付けられた。デザイン案には、NINTENDO64のような平たい形状のものもあったという。デザインは、NINTENDO64を担当した内部デザイナーによるもの。 [Q] ゲームキューブの発売まで、あと1カ月ほどになり

    「次世代ゲーム機の覇者は、ゲームキューブです」 任天堂株式会社 取締役経営企画室室長 岩田聡氏 月刊アスキー 2001年9月号 Key personインタビュー
    daishi_n
    daishi_n 2023/07/16
    1T-SRAMの採用はPowerBook 100じゃなかったかと思えばDRAMにリフレッシュ回路内蔵した擬似SRAMだったのね。GCのはSRAMのレイテンシと性能を少ないトランジスタで得られるところが違い
  • Auroraの性能は実質2EFlopsで消費電力は4500W インテル CPUロードマップ (1/2)

    2023年5月21日~25日にハンブルグでISC 23が開催され、ここでTOP500リストが更新されたが、引き続きAMD/HPEのFrontierが最高速の座を維持しており、Auroraはエントリーすらせず。 もっともこれは連載710回でも説明した通りで、格稼働は今年7月以降になるとされているのである意味予定通りである。 説明によれば、「現在プロセッサーを交換している最中」だそうで、どうもとりあえずはXeon MaxではなくただのXeon Scalableの、それも製品版ではなくPRQ/PV版かなにかを装着した形で納入。そこで最低限の動作検証などを行ない、現在Xeon Maxに置き換えているのではないかと思われる。 ちなみにTOP500における説明会では、75%ほどの置き換えが完了していたそうで、これが完了するのに6月いっぱいはかかると思われる。 ということで、AuroraTop500

    Auroraの性能は実質2EFlopsで消費電力は4500W インテル CPUロードマップ (1/2)
    daishi_n
    daishi_n 2023/06/12
    ノードあたり電力とシステム性能を混ぜるのは悪手かなあ。4500Wで2EFlops出れば驚愕の性能だよ(ノードあたりは最大320TFlops) 2ページ目のDIMMの物理的な高さがすげーな
  • 引火性危険物で冷却しないといけない露光機 EUVによる露光プロセスの推移 (1/3)

    今回は毛色を変えて、EUV(Extreme UltraViolet:極端紫外線)を説明しよう。昨今EUVをどこまでモノにできるか、というのがファウンダリー各社の焦点になっているのはご存じのとおりで、IBMと提携して2nmプロセスでの製造に突き進む日のRapidusも、なるべく急いでEUVプロセスを習熟する必要がある。 この話そのものは別にいまさらという内容ではあるのだが、3nmから先になるとそのEUVでもダブル・パターニングが必須になるといった状況に加え、ここにApplied MaterialsがSculptaと呼ばれる新しいシステムを発表したことで、いろいろと憶測が飛んでいる状況にある。 EUVの話はだいぶ昔(2014年)にしたが、これはまだEUVの量産が始まる以前の説明であり、もう量産機が大量に稼働している現在とはまた状況が違う。そんなわけで、今回はEUVによる露光プロセスを説明した

    引火性危険物で冷却しないといけない露光機 EUVによる露光プロセスの推移 (1/3)
    daishi_n
    daishi_n 2023/05/18
    基本的に副産物の水素は除去するのが化学工業系の基本なので充填しなきゃいけないのは危険すぎるな
  • EUV露光で堀った溝を削って広げる新技法Sculpta EUVによる露光プロセスの推移 (1/3)

    前回は、EUVでも普通に露光できるのは5nm世代まで、という話をした。今回は、ダブルパターニングとSculptaという新技法を解説しよう。 ちなみにTSMCはN5のプロセスジオメトリー(Contact Poly PitchやFin Pitchなど)を一切説明しておらず、ただN7世代と比較するとSRAMセル(HD:High Density)の寸法が0.78倍(0.021μm)になった、という数字のみを発表している。幸いにSamsungの5nm世代(5LPP:現在はSF5という名称になっている)の数字は公開されている。 Samsung 5nm世代のプロセスジオメトリー Contact Poly Pitch

    EUV露光で堀った溝を削って広げる新技法Sculpta EUVによる露光プロセスの推移 (1/3)
    daishi_n
    daishi_n 2023/05/15
    ついにビーム掘削の時代が。EUVが5nmでシングルパターニングに戻ったのに次の世代でまたダブルパターニングに戻るとか
  • アップルM2チップ生産中断か 売れ行き不振で

    アップルは新型MacBook Air/Proに搭載しているM2チップの生産を2023年初めに全面中断していたという。韓国メディアThe Elecが4月3日に報じた。 同紙は業界筋からの話として、台湾のTSMC社が1月と2月にM2チップ用にウェーハ加工した半導体をパッケージ工場に送っていないと伝えている。 原因はアップルがMacBookの需要減少を予測し、生産中断を要請したためだとみられている。 同紙によると3月からはまた動き出したそうだが、例年の半分程度の水準にとどまっているとのことだ。 M2チップ搭載MacBookが売れていない理由だが、おそらくは多くの人がM1チップ搭載MacBookで満足してしまっているものと思われる。筆者もそのひとりだ。単純に毎年買い替えるお金がないだけとも言えるが……。

    アップルM2チップ生産中断か 売れ行き不振で
    daishi_n
    daishi_n 2023/04/12
    初代RetinaなIvy BridgeおじさんだったからさすがにM2 Max買った。M1 Maxでも良かったけど、Eコアが増えて少し性能上がったからね
  • 遅延が問題視されるSapphire Rapidsは今どうなっている? インテル CPUロードマップ (1/3)

    今回は、いまだにリリースされていないSapphire Rapidsの情報アップデートをお届けしたい。 Sapphire Rapidsを量産開始とは言ったが 製品版とは言っていない 10月28日に、インテルは第3四半期の決算を発表した。決算の内容はおいておく(当はおいておいてはいけないのかもしれないが、今回の話には関係ない)として、その四半期報告のわりと冒頭にあるKey Developments(主な進展)の最初の項目で「Sapphire Rapids、Raptor Lake、Ponte Vecchioの大量生産を開始し、2022年第4四半期に顧客への出荷を開始する予定」という文言が出てくる。 Raptor Lakeは間違いなく出荷を開始しており、筆者も1つ買ったくらいだからこの文言に嘘はない。問題はSapphire Rapidsの方だ。Ponte Vecchioもそうだが、現時点ではまだ

    遅延が問題視されるSapphire Rapidsは今どうなっている? インテル CPUロードマップ (1/3)
    daishi_n
    daishi_n 2023/02/10
    利根川さん状態だな
  • Tech Tourで判明したRaptor Lakeの内部構造 インテル CPUロードマップ (1/3)

    前回はZen 4の内部構造の説明だったので、今回はRaptor Lakeの内部構造を説明したい。ちなみに実際の性能云々については今回は触れない(というか筆者もまだ試していない)。このあたりはKTU氏による渾身のレポートが近日中に上がると思うので、そちらをご期待いただきたい。 イスラエルで行なわれたインテルのTech Tour さて、まず最初にRaptor Lakeの開発体制の話をしよう。9月にIntel Tech Tourなるものがイスラエルで敢行され、今回の情報はこのTech Tourで得たものがメインとなるわけだが、そもそもなぜイスラエルで? というとRaptor Lakeの開発そのものがIDC(Israel Development Center)で行なわれたためであった。 下の画像が、そのIDCが設計を手掛けたプロセッサーの一覧である。2007年あたりまで、つまりPentium 4と

    Tech Tourで判明したRaptor Lakeの内部構造 インテル CPUロードマップ (1/3)
    daishi_n
    daishi_n 2022/10/13
    Haswellって256ビットレジスタを実搭載、AVX2が付いてSandyより実効性能上がってるし、オンチップレギュレータFIVRが付いてるから新規実装と呼べるんじゃないかな
  • Sapphire Rapidsの量産は2023年に延期、Optaneが終焉 インテル CPUロードマップ (1/3)

    7月29日、インテルは2022年第2四半期の決算発表を行なった。この決算発表もさることながら、この際のEarnings Call(金融アナリスト向けの電話会議)のQ&Aでいろいろ見えてきたことがあるので、今回はその話をしたい。 第2四半期の決算発表で ついに粗利率が50%以下に減少 まずは第2四半期決算そのものであるが、発表直後に株価関連情報をまとめてレポートしているSeeking Alphaでインテルを検索した結果が下の画像だ。この見出しだけでほぼ中身がわかってしまう気がするのが恐ろしいところ。

    Sapphire Rapidsの量産は2023年に延期、Optaneが終焉 インテル CPUロードマップ (1/3)
    daishi_n
    daishi_n 2022/08/01
    これ、Intel 4もコケたら終了じゃね? ファブレス化した方がマシだけど、国際情勢が許さないよね
  • インテル初のEUV露光を採用したIntel 4プロセスの詳細 インテル CPUロードマップ (1/3)

    連載673回でも触れたが、インテルはようやく初のEUV(極端紫外線)露光を採用したIntel 4プロセスの詳細を、6月に開催されたVLSIシンポジウムで発表した。 まだ開催されてから時間が経っていないこともあって、IEEEのサイトには論文が掲載されていないが、今月中には公開されると思われる。今回は論文の方ではなく、実際に講演した際の資料をベースに、これを説明していく。 22年間で10ノードを開発した インテルプロセスの歴史 まず簡単におさらい。下の画像がここ20年ほどのインテルのプロセス一覧である。2000年から2022年の22年間で10ノードなので、ノードあたり2.2年ほどという見方もできるが、10nm/10nm SuperFin/Intel 7が事実上同じノードと考えると実質8ノード、ノードあたり3年弱となる。22nmまでは2年おきに刷新されていたわけで、14nm以降が11年かけて4ノ

    インテル初のEUV露光を採用したIntel 4プロセスの詳細 インテル CPUロードマップ (1/3)
    daishi_n
    daishi_n 2022/07/13
    コバルト・銅ライナーはTSMCも使ってるからそれ自体に新規性はないんだけど、タンタルが一番外側、コバルト、銅と三重構造になってるのか。タンタル自体は10nmプロセスで採用してるのね
  • CPU黒歴史 思い付きで投入したものの市場を引っ掻き回すだけで終わったQuark (3/3)

    Quark誕生秘話 試作品をなんとなく製品化したものだった そもそもなぜQuarkが生まれたかと言う話だが、どうも「たまたま作ったものがそのまま製品にさせられてしまった」らしい。当時インテルはIoTの市場で出遅れていた。IoTといってもエンドノードからクラウドまで構成要素は多々あるが、インテルはクラウドやエッジにはなにかしら顧客の要求に応える製品を出せるにしても、エンドポイントに対しての製品がまるっきりなかった。 IDFの基調講演でのスライド。この直前のスライドでは“IoTに求められる要求”として超低消費電力・接続性・省サイズ・セキュリティーを挙げていた そんなときに、たまたまアリゾナで、P54コアを使っていろんなものを作ってみていた中の1つにQuarkがあったらしい。というか、実はQuarkそのものは、2010~2012年ごろに、Douglas L. Davis氏(2019年までIoT

    CPU黒歴史 思い付きで投入したものの市場を引っ掻き回すだけで終わったQuark (3/3)
    daishi_n
    daishi_n 2022/05/09
    IoTに関わらず組み込み系は長期サポートがないと無理。25年以上前のAMD Am29000のやらかしは未だに残ってるからな
  • 業界初のマルチダイGPUとなるRadeon Instinct MI200の見事な構成 AMD GPUロードマップ (1/3)

    連載635回でFrontierに納入される予定のRadeon Instinctの構成をいろいろ説明したが、“AMD Accelerated Data Center Premiere”では当然こちらの説明もあったので、答え合わせも兼ねてご紹介したい。 ちなみに発表記事で簡単にRadeon Instinct MI200シリーズの概要が紹介されているが、細かい製品仕様の話は最後にする。 1つのパッケージに2つのダイを搭載した見事な構成の Radeon Instinct MI200シリーズ 連載635回のノード構成推定図で「1つのRadeon Instinctと描いたものが、2つのRadeon Instinctを搭載したモジュールだと仮定すると、このギャップはもう少し縮まる」と書いたが、実際に発表されたRadeon Instinct MI200シリーズは見事に、1つのパッケージに2つのダイを搭載し

    業界初のマルチダイGPUとなるRadeon Instinct MI200の見事な構成 AMD GPUロードマップ (1/3)
    daishi_n
    daishi_n 2021/12/07
    TSMCはGV100用のCoWoS2で1700平方ミリのシリコンインターポーザを製造してたけど、ダイ2個で1400平方ミリだとHBMを配置するスペースが足りないかも https://pc.watch.impress.co.jp/docs/column/kaigai/1064109.html
  • ネットワークに特化したIPUのMount Evansでシェア拡大を狙うインテル インテル CPUロードマップ (1/3)

    Intel Architecture DayとHotChipsでの情報アップデートの最後はIPUの話だ。そもそもIPU(Infrastructure Processing Unit)とは何? というところから始めよう。IPUそのものは今年の6月に開催されたSix Five Summitというイベントで初めて発表されたものだが、名前の通りインフラストラクチャー(つまりネットワーク周り)の処理を行なうためのプロセッサーである。 こう言ってもわかりづらいと思うのだが、実はASCII×TECHの記事にIPUの必要性が余すことなくまとめられている。この記事はマイクロソフトのAzureの内部構成を説明したものであるが、マイクロソフトは以前からAzureの足回りにまずFPGA、ついでASICを自社開発してFPGAを置き換える形で利用している。 その理由は記事にもあるように「インフラ処理でCPUを使ったら

    ネットワークに特化したIPUのMount Evansでシェア拡大を狙うインテル インテル CPUロードマップ (1/3)
    daishi_n
    daishi_n 2021/09/27
    ほぼ想定通り。AWSのNitroとかパブリッククラウドのアクセラレータ類似品を外販するってことね。パットさんはVMwareで仕事してたしツテは十分あるか