タグ

ブックマーク / ascii.jp (81)

  • 「次世代ゲーム機の覇者は、ゲームキューブです」 任天堂株式会社 取締役経営企画室室長 岩田聡氏 月刊アスキー 2001年9月号 Key personインタビュー

    岩田聡(いわた さとる)氏プロフィール 1959年12月生まれ。北海道出身。高校時代からプログラミングに親しむ。'82年東京工業大学工学部情報工学科卒業後、ハル研究所入社。プログラマーとして任天堂のゲームソフト開発などに携わる。'93年に同社代表取締役に就任。'99年よりハル研究所相談役就任と同時に、任天堂にて現職。「バルーンファイト」('85年/ファミコン用)、「星のカービィ」シリーズ('92年/ゲームボーイ用ほか)、「MOTHER2ギーグの逆襲」('84年/スーパーファミコン用)などを手がけた(いずれも発売は任天堂)。 「ゲームキューブ」という商品名は、デザイン決定後の形状から付けられた。デザイン案には、NINTENDO64のような平たい形状のものもあったという。デザインは、NINTENDO64を担当した内部デザイナーによるもの。 [Q] ゲームキューブの発売まで、あと1カ月ほどになり

    「次世代ゲーム機の覇者は、ゲームキューブです」 任天堂株式会社 取締役経営企画室室長 岩田聡氏 月刊アスキー 2001年9月号 Key personインタビュー
    daishi_n
    daishi_n 2023/07/16
    1T-SRAMの採用はPowerBook 100じゃなかったかと思えばDRAMにリフレッシュ回路内蔵した擬似SRAMだったのね。GCのはSRAMのレイテンシと性能を少ないトランジスタで得られるところが違い
  • Auroraの性能は実質2EFlopsで消費電力は4500W インテル CPUロードマップ (1/2)

    2023年5月21日~25日にハンブルグでISC 23が開催され、ここでTOP500リストが更新されたが、引き続きAMD/HPEのFrontierが最高速の座を維持しており、Auroraはエントリーすらせず。 もっともこれは連載710回でも説明した通りで、格稼働は今年7月以降になるとされているのである意味予定通りである。 説明によれば、「現在プロセッサーを交換している最中」だそうで、どうもとりあえずはXeon MaxではなくただのXeon Scalableの、それも製品版ではなくPRQ/PV版かなにかを装着した形で納入。そこで最低限の動作検証などを行ない、現在Xeon Maxに置き換えているのではないかと思われる。 ちなみにTOP500における説明会では、75%ほどの置き換えが完了していたそうで、これが完了するのに6月いっぱいはかかると思われる。 ということで、AuroraTop500

    Auroraの性能は実質2EFlopsで消費電力は4500W インテル CPUロードマップ (1/2)
    daishi_n
    daishi_n 2023/06/12
    ノードあたり電力とシステム性能を混ぜるのは悪手かなあ。4500Wで2EFlops出れば驚愕の性能だよ(ノードあたりは最大320TFlops) 2ページ目のDIMMの物理的な高さがすげーな
  • 引火性危険物で冷却しないといけない露光機 EUVによる露光プロセスの推移 (1/3)

    今回は毛色を変えて、EUV(Extreme UltraViolet:極端紫外線)を説明しよう。昨今EUVをどこまでモノにできるか、というのがファウンダリー各社の焦点になっているのはご存じのとおりで、IBMと提携して2nmプロセスでの製造に突き進む日のRapidusも、なるべく急いでEUVプロセスを習熟する必要がある。 この話そのものは別にいまさらという内容ではあるのだが、3nmから先になるとそのEUVでもダブル・パターニングが必須になるといった状況に加え、ここにApplied MaterialsがSculptaと呼ばれる新しいシステムを発表したことで、いろいろと憶測が飛んでいる状況にある。 EUVの話はだいぶ昔(2014年)にしたが、これはまだEUVの量産が始まる以前の説明であり、もう量産機が大量に稼働している現在とはまた状況が違う。そんなわけで、今回はEUVによる露光プロセスを説明した

    引火性危険物で冷却しないといけない露光機 EUVによる露光プロセスの推移 (1/3)
    daishi_n
    daishi_n 2023/05/18
    基本的に副産物の水素は除去するのが化学工業系の基本なので充填しなきゃいけないのは危険すぎるな
  • EUV露光で堀った溝を削って広げる新技法Sculpta EUVによる露光プロセスの推移 (1/3)

    前回は、EUVでも普通に露光できるのは5nm世代まで、という話をした。今回は、ダブルパターニングとSculptaという新技法を解説しよう。 ちなみにTSMCはN5のプロセスジオメトリー(Contact Poly PitchやFin Pitchなど)を一切説明しておらず、ただN7世代と比較するとSRAMセル(HD:High Density)の寸法が0.78倍(0.021μm)になった、という数字のみを発表している。幸いにSamsungの5nm世代(5LPP:現在はSF5という名称になっている)の数字は公開されている。 Samsung 5nm世代のプロセスジオメトリー Contact Poly Pitch

    EUV露光で堀った溝を削って広げる新技法Sculpta EUVによる露光プロセスの推移 (1/3)
    daishi_n
    daishi_n 2023/05/15
    ついにビーム掘削の時代が。EUVが5nmでシングルパターニングに戻ったのに次の世代でまたダブルパターニングに戻るとか
  • アップルM2チップ生産中断か 売れ行き不振で

    アップルは新型MacBook Air/Proに搭載しているM2チップの生産を2023年初めに全面中断していたという。韓国メディアThe Elecが4月3日に報じた。 同紙は業界筋からの話として、台湾のTSMC社が1月と2月にM2チップ用にウェーハ加工した半導体をパッケージ工場に送っていないと伝えている。 原因はアップルがMacBookの需要減少を予測し、生産中断を要請したためだとみられている。 同紙によると3月からはまた動き出したそうだが、例年の半分程度の水準にとどまっているとのことだ。 M2チップ搭載MacBookが売れていない理由だが、おそらくは多くの人がM1チップ搭載MacBookで満足してしまっているものと思われる。筆者もそのひとりだ。単純に毎年買い替えるお金がないだけとも言えるが……。

    アップルM2チップ生産中断か 売れ行き不振で
    daishi_n
    daishi_n 2023/04/12
    初代RetinaなIvy BridgeおじさんだったからさすがにM2 Max買った。M1 Maxでも良かったけど、Eコアが増えて少し性能上がったからね
  • 遅延が問題視されるSapphire Rapidsは今どうなっている? インテル CPUロードマップ (1/3)

    今回は、いまだにリリースされていないSapphire Rapidsの情報アップデートをお届けしたい。 Sapphire Rapidsを量産開始とは言ったが 製品版とは言っていない 10月28日に、インテルは第3四半期の決算を発表した。決算の内容はおいておく(当はおいておいてはいけないのかもしれないが、今回の話には関係ない)として、その四半期報告のわりと冒頭にあるKey Developments(主な進展)の最初の項目で「Sapphire Rapids、Raptor Lake、Ponte Vecchioの大量生産を開始し、2022年第4四半期に顧客への出荷を開始する予定」という文言が出てくる。 Raptor Lakeは間違いなく出荷を開始しており、筆者も1つ買ったくらいだからこの文言に嘘はない。問題はSapphire Rapidsの方だ。Ponte Vecchioもそうだが、現時点ではまだ

    遅延が問題視されるSapphire Rapidsは今どうなっている? インテル CPUロードマップ (1/3)
    daishi_n
    daishi_n 2023/02/10
    利根川さん状態だな
  • Tech Tourで判明したRaptor Lakeの内部構造 インテル CPUロードマップ (1/3)

    前回はZen 4の内部構造の説明だったので、今回はRaptor Lakeの内部構造を説明したい。ちなみに実際の性能云々については今回は触れない(というか筆者もまだ試していない)。このあたりはKTU氏による渾身のレポートが近日中に上がると思うので、そちらをご期待いただきたい。 イスラエルで行なわれたインテルのTech Tour さて、まず最初にRaptor Lakeの開発体制の話をしよう。9月にIntel Tech Tourなるものがイスラエルで敢行され、今回の情報はこのTech Tourで得たものがメインとなるわけだが、そもそもなぜイスラエルで? というとRaptor Lakeの開発そのものがIDC(Israel Development Center)で行なわれたためであった。 下の画像が、そのIDCが設計を手掛けたプロセッサーの一覧である。2007年あたりまで、つまりPentium 4と

    Tech Tourで判明したRaptor Lakeの内部構造 インテル CPUロードマップ (1/3)
    daishi_n
    daishi_n 2022/10/13
    Haswellって256ビットレジスタを実搭載、AVX2が付いてSandyより実効性能上がってるし、オンチップレギュレータFIVRが付いてるから新規実装と呼べるんじゃないかな
  • Sapphire Rapidsの量産は2023年に延期、Optaneが終焉 インテル CPUロードマップ (1/3)

    7月29日、インテルは2022年第2四半期の決算発表を行なった。この決算発表もさることながら、この際のEarnings Call(金融アナリスト向けの電話会議)のQ&Aでいろいろ見えてきたことがあるので、今回はその話をしたい。 第2四半期の決算発表で ついに粗利率が50%以下に減少 まずは第2四半期決算そのものであるが、発表直後に株価関連情報をまとめてレポートしているSeeking Alphaでインテルを検索した結果が下の画像だ。この見出しだけでほぼ中身がわかってしまう気がするのが恐ろしいところ。

    Sapphire Rapidsの量産は2023年に延期、Optaneが終焉 インテル CPUロードマップ (1/3)
    daishi_n
    daishi_n 2022/08/01
    これ、Intel 4もコケたら終了じゃね? ファブレス化した方がマシだけど、国際情勢が許さないよね
  • インテル初のEUV露光を採用したIntel 4プロセスの詳細 インテル CPUロードマップ (1/3)

    連載673回でも触れたが、インテルはようやく初のEUV(極端紫外線)露光を採用したIntel 4プロセスの詳細を、6月に開催されたVLSIシンポジウムで発表した。 まだ開催されてから時間が経っていないこともあって、IEEEのサイトには論文が掲載されていないが、今月中には公開されると思われる。今回は論文の方ではなく、実際に講演した際の資料をベースに、これを説明していく。 22年間で10ノードを開発した インテルプロセスの歴史 まず簡単におさらい。下の画像がここ20年ほどのインテルのプロセス一覧である。2000年から2022年の22年間で10ノードなので、ノードあたり2.2年ほどという見方もできるが、10nm/10nm SuperFin/Intel 7が事実上同じノードと考えると実質8ノード、ノードあたり3年弱となる。22nmまでは2年おきに刷新されていたわけで、14nm以降が11年かけて4ノ

    インテル初のEUV露光を採用したIntel 4プロセスの詳細 インテル CPUロードマップ (1/3)
    daishi_n
    daishi_n 2022/07/13
    コバルト・銅ライナーはTSMCも使ってるからそれ自体に新規性はないんだけど、タンタルが一番外側、コバルト、銅と三重構造になってるのか。タンタル自体は10nmプロセスで採用してるのね
  • CPU黒歴史 思い付きで投入したものの市場を引っ掻き回すだけで終わったQuark (3/3)

    Quark誕生秘話 試作品をなんとなく製品化したものだった そもそもなぜQuarkが生まれたかと言う話だが、どうも「たまたま作ったものがそのまま製品にさせられてしまった」らしい。当時インテルはIoTの市場で出遅れていた。IoTといってもエンドノードからクラウドまで構成要素は多々あるが、インテルはクラウドやエッジにはなにかしら顧客の要求に応える製品を出せるにしても、エンドポイントに対しての製品がまるっきりなかった。 IDFの基調講演でのスライド。この直前のスライドでは“IoTに求められる要求”として超低消費電力・接続性・省サイズ・セキュリティーを挙げていた そんなときに、たまたまアリゾナで、P54コアを使っていろんなものを作ってみていた中の1つにQuarkがあったらしい。というか、実はQuarkそのものは、2010~2012年ごろに、Douglas L. Davis氏(2019年までIoT

    CPU黒歴史 思い付きで投入したものの市場を引っ掻き回すだけで終わったQuark (3/3)
    daishi_n
    daishi_n 2022/05/09
    IoTに関わらず組み込み系は長期サポートがないと無理。25年以上前のAMD Am29000のやらかしは未だに残ってるからな
  • 業界初のマルチダイGPUとなるRadeon Instinct MI200の見事な構成 AMD GPUロードマップ (1/3)

    連載635回でFrontierに納入される予定のRadeon Instinctの構成をいろいろ説明したが、“AMD Accelerated Data Center Premiere”では当然こちらの説明もあったので、答え合わせも兼ねてご紹介したい。 ちなみに発表記事で簡単にRadeon Instinct MI200シリーズの概要が紹介されているが、細かい製品仕様の話は最後にする。 1つのパッケージに2つのダイを搭載した見事な構成の Radeon Instinct MI200シリーズ 連載635回のノード構成推定図で「1つのRadeon Instinctと描いたものが、2つのRadeon Instinctを搭載したモジュールだと仮定すると、このギャップはもう少し縮まる」と書いたが、実際に発表されたRadeon Instinct MI200シリーズは見事に、1つのパッケージに2つのダイを搭載し

    業界初のマルチダイGPUとなるRadeon Instinct MI200の見事な構成 AMD GPUロードマップ (1/3)
    daishi_n
    daishi_n 2021/12/07
    TSMCはGV100用のCoWoS2で1700平方ミリのシリコンインターポーザを製造してたけど、ダイ2個で1400平方ミリだとHBMを配置するスペースが足りないかも https://pc.watch.impress.co.jp/docs/column/kaigai/1064109.html
  • ネットワークに特化したIPUのMount Evansでシェア拡大を狙うインテル インテル CPUロードマップ (1/3)

    Intel Architecture DayとHotChipsでの情報アップデートの最後はIPUの話だ。そもそもIPU(Infrastructure Processing Unit)とは何? というところから始めよう。IPUそのものは今年の6月に開催されたSix Five Summitというイベントで初めて発表されたものだが、名前の通りインフラストラクチャー(つまりネットワーク周り)の処理を行なうためのプロセッサーである。 こう言ってもわかりづらいと思うのだが、実はASCII×TECHの記事にIPUの必要性が余すことなくまとめられている。この記事はマイクロソフトのAzureの内部構成を説明したものであるが、マイクロソフトは以前からAzureの足回りにまずFPGA、ついでASICを自社開発してFPGAを置き換える形で利用している。 その理由は記事にもあるように「インフラ処理でCPUを使ったら

    ネットワークに特化したIPUのMount Evansでシェア拡大を狙うインテル インテル CPUロードマップ (1/3)
    daishi_n
    daishi_n 2021/09/27
    ほぼ想定通り。AWSのNitroとかパブリッククラウドのアクセラレータ類似品を外販するってことね。パットさんはVMwareで仕事してたしツテは十分あるか
  • COMPUTEXで発表した積層技術3D V-Cacheは性能向上と歩留まりを改善する新兵器 AMD CPUロードマップ (1/4)

    6月2日に配信されたCOMPUTEX 2021におけるAMDの基調講演はすでに加藤勝明氏の記事が上がっているのでごらんになった方が多いだろう。実はほとんどの内容は事前説明会で紹介があり、その意味では驚きはなかったのだが、最後に出てきた3D V-Cacheはその事前説明会でも一切触れられてこなかった話なだけに、正直仰天した。 もっともこのところのAMDは、それこそ“One more thing”で当にトンデモないネタが出てくるので、何か出てくると予想すべきではあったのだろうが、まさかこんなネタが! ということで、大いに驚かされた。ただ基調講演での説明は当に最小限であり、技術的な話はあまり触れられてこなかったので、これを補足して説明していきたい。 TSMCの第3世代積層技術3D V-Cacheを採用したプロセッサーを 2021年末に量産開始 まず3D V-Cacheであるが、これはTSMC

    COMPUTEXで発表した積層技術3D V-Cacheは性能向上と歩留まりを改善する新兵器 AMD CPUロードマップ (1/4)
    daishi_n
    daishi_n 2021/06/07
    TSMCの技術なら他社も使えるから、AMDが何かしら期間独占のためのインセンティブを与えなければ、Appleとかも使うだろうな
  • Alder Lakeが採用する電源規格ATX12VOとは? インテル CPUロードマップ (1/4)

    引き続きインテルCPUの話題……、というにはやや斜め上の話題であるが、今回はAlder Lakeに関係する電源規格の話だ。 来年投入予定のデスクトップ向けAlder Lakeには新しいLGA1700のプラットフォームが用意されるという話題はすでに何度か紹介した通りであるが、このLGA1700プラットフォームに関して、インテルはATX12VOを前面に押し出していくという話が出てきた。 ATX12VOそのものは実は2020年にリリースされた規格(最初のリリースは2019年である:後述)だが、今のところまったくと言っていいほど普及していない。ただAlder Lakeの世代でインテルはこのあたりを変革していくつもりなようだ。ということで今週はこのATX12VOについて説明したい。 マザーボードへの供給電源を12Vに一化 ATX12VOとは“ATX 12V Only”の略である。要するにマザーボー

    Alder Lakeが採用する電源規格ATX12VOとは? インテル CPUロードマップ (1/4)
    daishi_n
    daishi_n 2021/05/25
    まあ、汎用電源の規格って簡単に変えられないからね。Haswellの時に新しい電源ステート対応電源が必要なのでもトラブルあったし
  • 2021年春の半導体会議で判明した新情報 AIプロセッサーの昨今 (1/3)

    今回は、ここまで説明してきたいくつかのAIプロセッサーのアップデートをお届けしたい。4月19日から4月23日まで一週間ぶっ続けで、LSPC(Linley Spring Processor Conference) 2021が開催され、ここでさまざまな発表があったのだが、従来の製品についても追加の発表、あるいは情報の更新があった。ということでそうしたものを中心に説明しよう。 サンプルの存在が判明した Cerebras WSE2 連載572回で紹介したCerebras WSEは、ほぼウェハー1枚をまるまる使ったダイサイズ4万6225mm2の巨大なチップである。もうここまで大きいとチップという言い方はそぐわない気もするのだが。このWSE(ウェハースケールエンジン)を搭載したCS-1というシステムはピッツバーグスーパーコンピューティングセンターやアルゴンヌ国立研究所が導入を始めているという話は記事の

    2021年春の半導体会議で判明した新情報 AIプロセッサーの昨今 (1/3)
    daishi_n
    daishi_n 2021/05/03
    Flopsの接頭辞が抜けたり誤ったりで記事が読みづらいんだが。接頭辞をペタかテラに統一しないと性能差が読みづらい
  • Ice Lake-SPが発表、前世代より大幅に性能が向上したというが…… インテル CPUロードマップ (2/3)

    Ice Lake-SPのは1枚ウェハーから 84ダイが取れる この後もう少し発表会の内容をご紹介するが、その前に連載607回の答え合わせをしたい。筆者は28コアのIce Lake-SPのダイサイズがおおよそ660mm2ちかくなると予測したが、実際にウェハー写真が公開された。

    Ice Lake-SPが発表、前世代より大幅に性能が向上したというが…… インテル CPUロードマップ (2/3)
    daishi_n
    daishi_n 2021/04/23
    SPはクロック上がらなくてもいいから普通の7nmでおけ、ということか。
  • Rocket Lakeが14nmプロセスを採用した本当の理由 インテル CPUロードマップ (1/3)

    今回はインテルのCPUロードマップアップデートだ。Rocket LakeとIce Lake-SP、最後にXe-HPGの話をしよう。CPUロードマップと言いつつ、最後にGPUの話も入っているが、まぁいいだろう。 Rocket Lakeアップデート Cypress CoveはSunny Coveの14nm版 米国時間の3月16日にRocket Lakeの詳細が公開され、ジサトライッペイ氏によるレポートも上がっているが、このレポートにいくつか補足を入れたい。 まずCypress Coveの話を。ジサトライッペイ氏によるレポートではWillow Coveの移植とあるが、実際にはSunny Coveの移植(というよりバックポート)である。 要するにTiger Lakeを14nmに持ち込んだのではなく、Ice Lakeの14nm版である。時系列的に言っても、Tiger Lakeの出荷開始は2020年9

    Rocket Lakeが14nmプロセスを採用した本当の理由 インテル CPUロードマップ (1/3)
    daishi_n
    daishi_n 2021/03/22
    Alder Lakeでようやくクロックが回るとなると5年遅れだからな。4年前は1周速く回ってたのに既に1周遅れ
  • Samsungがついにメモリー内にプロセッサーを統合 AIプロセッサーの昨今 (1/3)

    一週開いてのAIプロセッサーだが、今回はCompute-in-Memoryタイプのプロセッサーの話だ。Compute-in-Memoryというと連載591回で紹介したMythicが出てくるが、ここはフラッシュメモリーをそのままアナログ演算器として使うという、分類としてはアナログコンピューターに分類される(そう分類せざるを得ない)構造で、その意味では他と比較できない製品である。 対して今回紹介するのはもっと力業である。今年2月16日、Samsung Electronicsはプレスリリースを出し、HBM(High Bandwidth Memory:高帯域幅メモリー)にAIプロセッサーを組み込んだHBM-PIM(Processing-In-Memory)を開発したことを発表した。このHBM-PIM、今年のISSCC(International Solid-State Circuits Confe

    Samsungがついにメモリー内にプロセッサーを統合 AIプロセッサーの昨今 (1/3)
    daishi_n
    daishi_n 2021/03/15
    全てがインテリジェントになっていくな
  • 天才プログラマー・オードリーさんがたった200行で効果的なアプリを作れる秘訣

    天才プログラマー・オードリーさんがたった200行で効果的なアプリを作れる秘訣 オードリー・タン台湾デジタル大臣との対話 - 未曾有の危機に幅広く使える未来思考(後編) 2021年1月19日、『コロナ vs. AI 最新テクノロジーで感染症に挑む』(翔泳社刊)が発売されました。医師の起業家からAIの研究者・ITの先端技術コンサルタントによって執筆されており、コロナ対抗策としてのAIの社会実装事例・AI研究事例・医療研究事例をわかりやすくまとめられています。今回書の発売を記念して、収録されている台湾のデジタル大臣、オードリー・タンさんへの特別インタビューから、一部内容をご紹介します。株式会社キアラ 代表取締役の石井 大輔氏による寄稿です。(前編はこちら)。 石井:今回の私の質問は少し技術的なことです。オードリーさんは天才プログラマーとして有名です。GitLab Taiwanのエンジニア友人

    天才プログラマー・オードリーさんがたった200行で効果的なアプリを作れる秘訣
    daishi_n
    daishi_n 2021/02/02
    一般には80から120バイト/行なので200行で20KBくらいのはず。まあ、バイナリと英数字を比較すると情報密度が4倍くらい違うので微妙だけどね
  • KDDIが楽天解約ユーザーの受け皿になる可能性が出てきた (1/4)

    KDDIはeSIMに特化したMVNO事業会社「KDDI Digital Life」を立ち上げる。サービス開発において、シンガポールのCircles Asiaと提携した。 KDDIでは「マルチブランド戦略」を掲げている。5Gで使い放題の世界観を提供する「au」、20GBなど顧客と政府のニーズに沿ったプランを提供する「UQモバイル」、古くからのインターネットユーザーに親しみのある「BIGLOBEモバイル」、ケーブルテレビ局の営業力を使った「J:COMモバイル」といった具合だ。 新設されるKDDI Digital Lifeはあくまで会社名であり、サービス提供名は別に設定される見込みだ。eSIMを活用し、手続きやプラン変更などはオンラインですべて完結できるようにする。

    KDDIが楽天解約ユーザーの受け皿になる可能性が出てきた (1/4)
    daishi_n
    daishi_n 2020/11/03
    auのiPhone 12 Proに機種変更して5Gの1GBプランに切り替えたのでeSIMデータ通信に切り替えた方がいいか悩ましいところ。1GB超えたら自動的に+3GBで+1500円だから微妙なんだよね。