タグ

verilogに関するjjzakのブックマーク (130)

  • 初めてでも使えるVerilog HDL文法ガイド ―― 文法ガイド編

    回路記述やテストベンチでよく用いるものについて,Verilog HDLの文法の要約を示します.簡略化して表現したものもあります.また,省略できる項目には[ ]を付けました. (編集部) 1.基項目1.1 識別子● 通常の識別子 英字またはアンダ・スコア( _ )で始まる文字列.文字列中には,英字,数字,アンダ・スコア( _ ),ドル記号($)を含むことができる.大文字と小文字を区別する.《記述例》 正しい識別子 cnt4,_reset,TEN$,INPUT (大文字なので予約語のinputとは区別できる) 誤った識別子 74LS00(先頭が数字),$test(先頭が$),xor(予約語) ● エスケープされた識別子 バック・スラッシュ( \ )で始まる文字列(日語環境では¥で始まる文字列).任意の印字可能なASCII文字を含むことができる.ホワイト・スペース(スペース,タブ,改行)が識

  • Your requested host is not found.

    Your requested host is not found. root@sss001:/usr/local/mws/dosdetector # cat /usr/local/www/data/index.html Your requested host is not found.

  • 一本道 期間限定スペシャルー無料お試し開始!

    jjzak
    jjzak 2009/01/28
    ASICやFPGAなどの設計に使われる無償ツールのインストール方法や使用方法を備忘録を兼て記録してゆきます。実際に使用されている方たちの情報交換の場になればよいと思います。
  • kei-os2007 against the machine!!

    このブログも日記というよりもはや年記になっていて、やるやる詐欺、いや、書く書く詐欺になっているので、ここらへんでエントリー投入しときます。とは言っても、はてな記法もすっかり忘れているので、ひとまず手短なエントリーにしときます。 ここのところは、Android のポーティングやアプリ開発にシフトしているところです。ハードウェア系 (SoC とか) からは一旦離れて、組み込み LinuxC++Java の経験値を上げているところです。 Android のポーティングには、個人では BeagleBoard を使ってますが、最近、Kinect センサーを購入したので、Android + BeagleBoard + Kinect でちょっとまとめてみようかな、と思ってる次第です。ぼちぼちブログ書きのリハビリをしながら。 というわけで、久しぶりすぎる更新でした。 前回のエントリから、ずいぶんと

    kei-os2007 against the machine!!
    jjzak
    jjzak 2009/01/04
    Haskellで作るVerilogパーサ
  • http://ugd555.fc2web.com/frame.html

  • FPGAの部屋 Spartan3E Starter Kit でマイコンを作る

    soc-lm32その後12 前回の流れでLatticeMico32のdriversフォルダの下のライブラリを使ってu-bootをブートしようとしたが、フォルダの中に入っていないインクルードファイルがあって、どうもコンパイルできない。多分、システムのジェネレータなどでファイルを生成するのではないだろうか?これ以上はLatticeのツールをインストールする必要があるようだし、とりあえずペンディングにしようかと思う。 今月のDWMでも山際氏がLatticeのデモボード上でMico32を複数使ったマルチコアシステムの記事を書いているし、デモボードを買って試してみても良いかな?とも思ったが、とりあえずはハードを作るほうに戻ろうかと思う。 載せやすいフリーOSがあったら教えてください。なにか、そういうのを載せたいのだが。。。 soc-lm32に自作のDDR SDRAMコントローラを載せた物をほしい方が

  • なんとなく実験 with SIProp開発記

    商务广告 TG:@mdys588 9420免费高清在线观看视频,丰满乱子伦无码专区,24小时日高清免费看下载 毛片免费观看播放,级毛片免费观看在线播放,级毛片免费观看在线高清,级毛片免费全部播放,级毛片免费观看播放,级毛片免费观看在线播放,级毛片免费观看在线高清,级毛片免费全部播放,国产精品自产拍高潮在线观看,国产人成无码视频在线1000,国产香蕉尹人在线观看视频,国产制服丝袜亚洲日在线,欧美波霸爆乳熟妇片,欧美精品亚洲日韩Ⅴ,欧美日韩一区精品视频一区二区,欧美性生 活18~19,午夜亚洲理论片在线观看,西西人体444高清大但,无遮挡男女一进一出视频真人,香港经典毛片免费观看特级,级毛片免费观看播放,级毛片免费观看在线播放,级毛片免费观看在线高清,级毛片免费全部播放,国产一区二区青青精品久久,乱人伦人中文字幕,自拍视频亚洲综合在线精品,亚洲一区无码精品色偷拍,欧美色欧美亚洲另类二区,

  • FPGA Spartan-3A スターターキットであそぶ - 言語ゲーム

    XILINX 社の FPGA Spartan-3A 評価キットでプログラムを書いてボード上で実行するまでの最低限の手順を書きます。特に英語版を買った人は、箱に入っている資料では全く役に立たないので注意して下さい。でも XILINX のサイトに沢山情報があります。 ソフトウェアのインストール 付属の CD-ROM から ISE 9.2i 評価版をインストールしました。インストール時に XILINX ウェブサイトでキーを発行してもらう必要があります。EDK は不要です。 FPGA の動作原理 普通 IC というのは作ってから中の回路を変更する事が出来ません。しかし FPGA には回路の素が沢山入っていて、ビットストリームという情報から動的に回路を作り出します。つまり後から CPU にもメモリにも何にでもなる、チップ界の ES 細胞と言えます。残念ながら回路の合成は電子的に行われるので、回路の素

    FPGA Spartan-3A スターターキットであそぶ - 言語ゲーム
  • 雨が、降って、きた・・・ -Down, comes, the rain..

    jjzak
    jjzak 2008/05/09
    PIC16をVerilogで作って見ました
  • prolog Verilog HDL で 3 4 - 言語ゲーム

    図書館で HDL の教科書を読むと、ハードウェア記述言語というのはある意味マルチパラダイム言語だという事がわかった。と言うのも、ハードから近い順に次のような書き方を全部サポートしているのだ。 構造(Structure)記述 : 回路図をそのまま文字にしただけ データフロー(Dataflow)記述 : 数式のレベルで書ける 機能(Behavior)記述 : 副作用のある代入や、制御構造が使える。 こ、これは面白い!どこが面白いかと言うと、この Structure, Dataflow, Behavior というのは、プログラミング言語で言うと、論理型言語、関数型言語、手続き型言語に対応している。しかもこの順序は、実装から遠いと思っていた順だ。つまり、普通手続き型言語が一番機械語に近くて、論理型言語が一番遠くて実装がややこしい。しかし、ハードの世界になると逆転して、実は論理型が一番メカに近くて、

    prolog Verilog HDL で 3 4 - 言語ゲーム
  • BrainFuckマシーンリリース なう! - なつたん

    FPGA上で動くBrainfuckマシーンが完成しました。 Nios Development Board, Cyclone EditionでBrainfuckが動く! (1)特徴 ・最大100MHzの高速動作(Altera社、Cyclone使用時) ・シリアルポートを標準入出力として使用可能 ・移植性を考慮したデザイン。ROM、RAMのサイズ、容量が可変。UNICODEにも対応。 ・335LEの超小型CPU(シリアルポートを含む) ・未定義命令を検出したとき自動的にHALTモードに遷移 ・Brainfuckソースコードから、Altera MIFファイルへの変換ツール付属 ・全Verilogソースコード、Veritakでのテストベンチ付属 ・長門モード搭載 (2)アーキテクチャ ・命令用、データ用のメモリが分離されたハーバードアーキテクチャ ・スーパースカラ機構と組み合わされた投機的インクリ

    BrainFuckマシーンリリース なう! - なつたん
  • http://matsucon.web.fc2.com/snes/

  • #include "Matsu.h"

  • Infoseek[インフォシーク] - 楽天が運営するポータルサイト

    人気再燃!ポケモンGOをより楽しむウェアラブルデバイス4選 街中で『ポケモンGO』を遊ぶにしても、スマートフォンを出したりしまったりしながら歩くのはなかなか難し…

    Infoseek[インフォシーク] - 楽天が運営するポータルサイト
  • コーヒーでも飲みながら検証の話でも

    Verilog2001からconstant functionというものがサポートされています。 使い用によっては便利だなと思いつつ、使わなくてもなんとかなるものなのであまり気にしていませんでしたが、使いたくなったときに調べるのが面倒なのでメモしときます。 まずどういうときに使うのかというと、使いたい時というのは多分限られていて例えばFIFOなどのパラメータ化を考えたとき、昔のVerilog HDLではパラメータとしてデータのビット幅とFIFOの深さそれとFIFOのポインタのビット幅をという3つのパラメータを指定する必要がありました。 この中でFIFOのポインタのビット幅というのはFIFOの深さから導けるのですが、単純な四則演算などで計算することが出来ず、for文などを使う必要があるため別途パラメータとして渡してあげなければならなかったわけです。 例えば次のような感じです。 module m

  • たーぼ のハードウェア設計記録

    物理タイプとは、時間や電圧、電流、距離などの物理的な物を表すために使用するデータタイプだ。 VHDLには元々「time」が物理タイプとして定義されており内容はスタンダードパッケージに書かれている。 この「time」を代表とする物理タイプはpsやnsなどの単位を指定できるのが大きな特徴で、新しい単位を持ったデータタイプを作成する事も出来る。 以下の例は距離の物理タイプを新たに定義して、その距離を遅延時間に変換する関数も用意した。(遅延時間は1ns=15cmで計算) library modelsim_lib; use modelsim_lib.util.all; package distance_pkg is -- 距離タイプ type distance is range 0 to integer'high units munit; um      = 10 munit; mm      =

  • excentricについて

    excentricはハードウェア記述言語SFL⇒Verilog HDL変換ツールです. 開発はcygwin gcc 3.4.4で行っています.開発にはC/C++を使用しています. 現在はまだβ版で,バグなんかもまだまだあると思います. そのうち,他の変換ツールとのシミュレーション時間・ISEまたはQuartus IIでのコンパイル時間の優劣を測定したものを載せようと思っています. 使用方法 ダウンロードして,展開します.その中にあるexcentric.exeを適当な場所に置いてください. 詳しい使用法については, こちらのMakefileの例を参考にしてください. オプションについて -o, --output 出力ファイル名を指定します.デフォルトでは入力ファイルがhoge.sflだとするとhoge.vとなります. -O 最適化モードを適用します.正直,最適化についてはかなり手を抜いてます

    jjzak
    jjzak 2007/11/16
    excentricはハードウェア記述言語SFL⇒Verilog HDL変換ツール
  • FPGA PARK TOP

    ●自前/変造版 -MR16 (16bitRISC)オリジナル16bitRISC -FM-TypeMいわずとしれたFM変調サウンド音源 -AY-3-8910(PSG)SSGサウンド音源 -S/PDIF光デジタルオーディオのエンコーダ -CRTC 6845旧世代の画面コントローラ -PIA 8255ハードやっててコレを知らない方はいないはず -freq_cnt周波数カウンタ ●他人様物の紹介/リンク -fz80偽X1でも使用しているシングルサイクルZ80互換コア -tv80Z80互換コアとして最も有名な?T80のVerilogHDL版 -1bit CPU(KP1)その発想がすばらしい。まさにFPGAならではの遊び方 TOPへもどる 実験室 ●抵抗でビデオ出力 -DAC編 -エンコーダー編 テレビ出力って簡単かも -実用編 ●自由なクロックを作る -OSC+PLL+VCOの実験 -DCO+PLL

    jjzak
    jjzak 2007/11/16
    CRTC,NTSC VIDEOのサンプル
  • 「 Python 」でナノ秒の世界へ!

    【1】   概要 「 MyHDL 」は「 python 」によるハードウェアロジック記述に特化した 「 DSL 」の一種です。 MyHDLはPythonにいくつかの制約と拡張を行った記述になっています。 ほとんどの基的なPythonの記述方法が使えます。 Verilogへのトランスレート Pythonレベルのシミュレーションテスト という2つの主要機能によって 「ハードウェアロジックの開発」を「Pythonベース」で行うことができます。

    jjzak
    jjzak 2007/11/16
    pythonでハードウェア記述
  • なつたん

    FPGA に夢を見るを読みました。 素晴らしい。 無条件に応援するので、わからないところはどんどん聞いて欲しい。アンテナに入れたので、それっぽいタイトルならチェックします。 FPGAは超並列マシンそのもの。今からCコンパイラ作っても、はいはい車輪車輪だけど、FPGAなら未開拓の土地がいっぱいある。某(上野氏)もFPGAボードを手に入れたという極秘情報をつかんだ今、空前FPGAブームが来ていることは間違いないです。 今のFPGA業界は、ビルゲイツは要らないがMS-DOSを切実に求めている。内部がそれなりに公開されていて、統一的なバス規格だ。AMBAは確かに良いんだけど情報が少なすぎるし、仕事でAMBA使っている人はBlogにAMBAの記事を絶対に書けないという事情もある。簡単に使えるバスはいっぱいあるけど、これぞと言うのがないから、毎回バスのI/Fを決めてデバッグしてという作業が発生し、We

    なつたん