並び順

ブックマーク数

期間指定

  • から
  • まで

1 - 40 件 / 60件

新着順 人気順

TSMC'sの検索結果1 - 40 件 / 60件

  • 北森瓦版 - Intel 14nmプロセスとTSMC 7nmプロセスを電子顕微鏡で比較した結果

    Intel 14nm and AMD/TSMC 7nm transistors micro-compared(HEXUS) Intel 14 nm Node Compared to TSMC's 7 nm Node Using Scanning Electron Microscope(techPowerUp!) 14nm and 7nm are NOT what you think it is - Visiting Tescan Part 3/3(der8auer / YouTube) 名高いオーバークロッカーとして知られるder8auer氏がYouTubeで非常に興味深い検証を行っている。その内容はCore i9 10900KとRyzen 9 3950Xを比較するというもので、製造プロセスは前者がIntel 14nm+++、後者がTSMC 7nmとなる。そしてこれらを電子顕微鏡を用いて比

    • TSMC 3nmを活用したApple A17とM3 SoCの歩留りは55%程度。iPhone15などでコスト高騰や出荷量が減少する可能性。

      TSMC 3nmを活用したApple A17とM3 SoCの歩留りは55%程度。コスト高騰や出荷量の減少に繋がる可能性 TSMC’s 3-nm Push Faces Tool Struggles – EE Times TSMCが開発している3nmについてはAppleが2023年秋に発売を計画しているiPhone15に搭載されるA17 BionicやMacbook系に搭載されるM3 SoCの製造に利用されると言われています。また、Appleでは他社に先駆けてこの3nmプロセスを利用するために、TSMC 3nmの生産枠の90%程度を獲得したとも言われているのですが、この3nmについて歩留りが現時点では55%程度と非常に低調とのことで、AppleとTSMCは支払い方法を巡っても低い歩留りに合わせて変更するようです。 EE Timesによると、TSMC 3nmプロセスであるN3Bで製造されるSoC

        TSMC 3nmを活用したApple A17とM3 SoCの歩留りは55%程度。iPhone15などでコスト高騰や出荷量が減少する可能性。
      • 「iPhone 15 Proの異常な発熱」はA17 Proではなく「設計上の妥協が原因」との指摘

        iPhone 15シリーズは充電中や長時間使用時に端末が異常に発熱することが複数ユーザーから報告されています。異常発熱の原因はiPhone 15 Proに搭載されているSoCの「A17 Pro」にあるのではという声もあったのですが、Apple関連の確度の高いリーク情報を取り扱うアナリストのミンチー・クオ氏が、「設計上の妥協が原因」と指摘しています。 The iPhone 15 Pro series overheating issues are unrelated to TSMC’s advanced 3nm node / iPhone 15 Pro系列的過熱問題,與台積電的3nm製程無關 - 郭明錤 (Ming-Chi Kuo) - Medium https://medium.com/@mingchikuo/the-iphone-15-pro-series-overheating-issu

          「iPhone 15 Proの異常な発熱」はA17 Proではなく「設計上の妥協が原因」との指摘
        • 中国の半導体メーカー「SMIC」がIntelすら苦戦した7nmチップを大量生産し世界第3位相当のファウンドリへ急成長している実態が判明

          by SMIC 調査会社・TechInsightsが、中国の半導体メーカー・SMICの7nmプロセスルールのチップ生産を報告しています。すでにTSMCは2022年4月に、Samsungは2022年7月に、それぞれ3nmプロセス製品の生産体制に入ったことが報じられているので7nmプロセスの生産は驚くほどのことではないように思えますが、この発見の画期的な部分は、アメリカ商務省が14nmプロセスより高度な技術に利用可能な機器の中国への輸出を制限しているにもかかわらず、SMICが7nmプロセスの製品を製造した事実にあります。 Disruptive Technology: 7nm SMIC MinerVa Bitcoin Miner | TechInsights https://www.techinsights.com/blog/disruptive-technology-7nm-smic-mine

            中国の半導体メーカー「SMIC」がIntelすら苦戦した7nmチップを大量生産し世界第3位相当のファウンドリへ急成長している実態が判明
          • iPhone 6sなどのCPUがサムスン製だとTSMC製よりバッテリーがもたない、確認する方法はコレ

            iPhone 6s/6s PlusはSamsungとTSMCの2社が製造する2種類の「A9」プロセッサを採用していますが、「どちらのA9プロセッサを搭載しているかによって理論的にはバッテリーの持ちが50分も異なる」という指摘が出てきています。 Which chip does your 6s(6s+) have? | MacRumors Forums http://forums.macrumors.com/threads/which-chip-does-your-6s-6s-have.1922967/ Apple Says Battery Performance Of New iPhones’ A9 Chips Vary Only 2-3% | TechCrunch http://techcrunch.com/2015/10/08/apple-says-battery-performance

              iPhone 6sなどのCPUがサムスン製だとTSMC製よりバッテリーがもたない、確認する方法はコレ
            • 世界最大の半導体ファウンドリTSMCの顧客第2位にAMDが浮上か、1位はやはりあの企業

              チップメーカーのAMDはCPUやGPU、カスタムSoCのほとんどを、世界最大の半導体ファウンドリであるTSMCで製造しています。そんなAMDがTSMCの2021年度の収益シェア第2位に躍り出る可能性が報じられています。 Samsung Won't Overtake Taiwan Semiconductor Despite Massive Capex Spend (OTCMKTS:SSNLF) | Seeking Alpha https://seekingalpha.com/article/4414346-samsung-won-t-soon-overtake-taiwan-semi-despite-massive-capex-spend AMD Expected to Become TSMC's Second Largest Customer | Tom's Hardware https:

                世界最大の半導体ファウンドリTSMCの顧客第2位にAMDが浮上か、1位はやはりあの企業
              • 台湾の半導体メーカー「TSMC」の熊本工場がついに完成、さらに第2工場の建設に約7300億円の補助金の交付を日本政府が決定

                by 李 季霖 台湾に拠点を置く世界最大級の半導体ファウンドリ「TSMC」が日本の熊本県に建設していた工場が、2024年2月24日についに完成し、開所式が行われました。さらに日本政府は、TSMCが進める熊本への第2工場建設に対して最大48億6000万ドル(約7320億円)規模の補助金を交付することを明らかにしています。 Japan takes Taiwan's helping hand on long road to chip revival | Reuters https://www.reuters.com/technology/japan-takes-taiwans-helping-hand-long-road-chip-revival-2024-02-22/ Japan to subsidize US$5 billion for TSMC's Fab2 in Kumamoto htt

                  台湾の半導体メーカー「TSMC」の熊本工場がついに完成、さらに第2工場の建設に約7300億円の補助金の交付を日本政府が決定
                • An AnandTech Interview with Jim Keller: 'The Laziest Person at Tesla'

                  Topics Covered AMD, Zen, and Project Skybridge Managing 10000 People at Intel The Future with Tenstorrent Engineers and People Skills Arm vs x86 vs RISC-V Living a Life of Abstraction Thoughts on Moore's Law Engineering the Right Team Idols, Maturity, and the Human Experience Nature vs Nurture Pushing Everyone To Be The Best Security, Ethics, and Group Belief Chips Made by AI, and Beyond Silicon A

                    An AnandTech Interview with Jim Keller: 'The Laziest Person at Tesla'
                  • Microsoftは2019年から社内で独自のAIチップ「Athena」を開発しておりOpenAIの大規模言語モデルで既にテスト中

                    Microsoftは2019年頃からChatGPTやGPT-4のような大規模言語モデル(LLM)のトレーニングに使用できるAIチップの開発に取り組んでいると、The Informationが報じています。報道によると、MicrosoftとOpenAIの一部の従業員は開発中のAIチップを用いてLLMのパフォーマンスを既にテストしているそうです。 Microsoft Readies AI Chip as Machine Learning Costs Surge — The Information https://www.theinformation.com/articles/microsoft-readies-ai-chip-as-machine-learning-costs-surge Microsoft Building Its Own AI Chip on TSMC's 5nm Proc

                      Microsoftは2019年から社内で独自のAIチップ「Athena」を開発しておりOpenAIの大規模言語モデルで既にテスト中
                    • Introducing: Raspberry Pi 5! - Raspberry Pi

                      Today, we’re delighted to announce the launch of Raspberry Pi 5, coming at the end of October. Priced at $60 for the 4GB variant, and $80 for its 8GB sibling (plus your local taxes), virtually every aspect of the platform has been upgraded, delivering a no-compromises user experience. Raspberry Pi 5 comes with new features, it’s over twice as fast as its predecessor, and it’s the first Raspberry P

                        Introducing: Raspberry Pi 5! - Raspberry Pi
                      • 中国が台湾市民の個人情報を狙っていると政府が発表

                        台湾調査局のサイバーセキュリティ調査室が2020年8月19日(水)に、中国のハッカーが台湾政府の情報や市民の個人情報を目的としたハッキング攻撃を過去2年にわたって行っていたことを発表しました。 Taiwan Accuses Chinese Hackers of Targeting Its Citizens’ Data - Bloomberg https://www.bloomberg.com/news/articles/2020-07-16/tsmc-s-profit-beats-as-virus-drives-demand-for-datacenter-chips Taiwan says China behind cyberattacks on government agencies, emails - Reuters https://www.reuters.com/article/us

                          中国が台湾市民の個人情報を狙っていると政府が発表
                        • Raspberry Pi Pico W: your $6 IoT platform - Raspberry Pi

                          New product alert! In January last year, we launched the $4 Raspberry Pi Pico, our first product built on silicon designed here at Raspberry Pi. At its heart is the RP2040 microcontroller, built on TSMC’s 40nm low-power process, and incorporating two 133MHz Arm Cortex-M0+ cores, 264kB of on-chip SRAM, and our unique programmable I/O subsystem. Since launch, we’ve sold nearly two million Pico board

                            Raspberry Pi Pico W: your $6 IoT platform - Raspberry Pi
                          • キヤノンとニコンがASMLに半導体露光装置で破れた政治的な理由(ダイヤモンド・オンライン) - Yahoo!ニュース

                            NYタイムズが「映画『チャイナ・シンドローム』や『ミッション:インポッシブル』並のノンフィクション・スリラーだ」と絶賛! エコノミストが「半導体産業を理解したい人にとって本書は素晴らしい出発点になる」と激賞!! フィナンシャル・タイムズ ビジネス・ブック・オブ・ザ・イヤー2022を受賞した超話題作、Chip War がついに日本に上陸する。 にわかに不足が叫ばれているように、半導体はもはや汎用品ではない。著者のクリス・ミラーが指摘しているように、「半導体の数は限られており、その製造過程は目が回るほど複雑で、恐ろしいほどコストがかかる」のだ。「生産はいくつかの決定的な急所にまるまるかかって」おり、たとえばiPhoneで使われているあるプロセッサは、世界中を見回しても、「たったひとつの企業のたったひとつの建物」でしか生産できない。 もはや石油を超える世界最重要資源である半導体をめぐって、世界各

                              キヤノンとニコンがASMLに半導体露光装置で破れた政治的な理由(ダイヤモンド・オンライン) - Yahoo!ニュース
                            • 台湾積体電路製造 - Wikipedia

                              台湾積体電路製造股份有限公司(たいわんせきたいでんろせいぞうこふんゆうげんこうし、繁: 臺灣積體電路製造股份有限公司、英語: Taiwan Semiconductor Manufacturing Company, Ltd.、略称:台積電・TSMC )は、中華民国 (台湾) にある世界最大の半導体受託製造企業(ファウンドリ)である。世界初の半導体専業ファウンドリ[3]であり、世界で最も時価総額の高い半導体企業[4]の一つである。台湾最大級の企業でもあり、新竹市の新竹サイエンスパークに本社を置く。 TSMCが製造した半導体集積回路(ICウェハ)を用いた顧客の製品は、補聴器やスマートフォン、クラウドデータセンターから、科学研究用機器・医療用機械・人工衛星・宇宙船など、幅広い電子機器に採用されている。現時点で世界で最も進んだ半導体プロセス技術である5 nmを用いた製造サービスを提供する最初のファウ

                                台湾積体電路製造 - Wikipedia
                              • Linus Torvalds drops Intel and adopts 32-core AMD Ryzen Threadripper on personal PC

                                ‘My 'allmodconfig' test builds are now three times faster than they used to be’ says Linux overlord Linux overseer Linus Torvalds has binned Intel on his personal PC and hinted that he hopes to one day run an ARM-powered desktop. In his weekly State of the Kernel post Torvalds released Linux 5.7 rc7, said the development process has been smooth and commented “Of course, anything can still change,

                                  Linus Torvalds drops Intel and adopts 32-core AMD Ryzen Threadripper on personal PC
                                • Canonical Extends Ubuntu 18.04 LTS Linux Support to 10 Years

                                  The 11th Global Data Center Survey is here with insights from international data center operators and owners on the latest trends for managing servers. The Uptime Institute's (UI) annual survey is a critical reference point for the state of data centers, and the 2021 report is no different. The survey covers a range of topics, including PUE metrics, outage trends, sustainability efforts, and suppl

                                  • M1XやM2、M2X〜未発表の複数のAppleシリコンに関する情報が投稿 - iPhone Mania

                                    Appleのプロセッサやチップなどの情報を発信しているLonghorn氏(@never_released)が、Twitterに未発表のAppleシリコンに関する情報を投稿しました。 未発表のAppleシリコンに関する情報 Longhorn氏(@never_released)が2021年2月4日に伝えた未発表のAppleシリコンに関する情報を更新し、Twitterに投稿しました。 From looking a bit around macOS: Apple t6000 – H13(S/C/D?) – Apple (M1X?) Apple t6001 – (?) – Apple (M2X?) Apple t8110 – H14P – Apple A15 Apple t8112 – H14G – Apple M2 “Avalanche” as the big core codename for

                                      M1XやM2、M2X〜未発表の複数のAppleシリコンに関する情報が投稿 - iPhone Mania
                                    • Qualcomm Snapdragon - Wikipedia

                                      Snapdragon 8Snapdragon 7Snapdragon 6Snapdragon 4Snapdragon 2 Snapdragon is a suite of system on a chip (SoC) semiconductor products for mobile devices designed and marketed by Qualcomm Technologies Inc. The Snapdragon's central processing unit (CPU) uses the ARM architecture. As such, Qualcomm often refers to the Snapdragon as a "mobile platform". Snapdragon semiconductors are embedded in devices

                                        Qualcomm Snapdragon - Wikipedia
                                      • iPhone14、A16チップはPro限定になる理由:著名アナリスト - こぼねみ

                                        Appleが今年後半の発売に向けて準備している新型「iPhone 14」シリーズについて。 今年は、2つのProモデル限定で「A16」チップを搭載し、Proの付かない無印モデルは現行iPhone 13に搭載されているA15 Bionicチップを引き続き搭載することをAppleアナリストMing-Chi Kuo氏は先日主張していましたが、その続報です。 新しいiPhoneのイメージAppleが同じiPhone14シリーズで世代の異なるチップを採用する理由について、チップを製造するTSMCのハイエンドプロセスの供給は厳しいが、どちらかといえばマーケティングや経済重視の判断に近いとKuo氏は考えており、より手頃な価格のiPhone 14と14 MaxでのA15チップの採用は、コスト圧力を下げ、iPhone14 ProとPro Maxの2モデルでより差別化を図ることができるとしています。 Alth

                                          iPhone14、A16チップはPro限定になる理由:著名アナリスト - こぼねみ
                                        • Apple Announces M1 Pro & M1 Max: Giant New Arm SoCs with All-Out Performance

                                          Apple Announces M1 Pro & M1 Max: Giant New Arm SoCs with All-Out Performance Today’s Apple Mac keynote has been very eventful, with the company announcing a new line-up of MacBook Pro devices, powered by two different new SoCs in Apple’s Silicon line-up: the new M1 Pro and the M1 Max. The M1 Pro and Max both follow-up on last year’s M1, Apple’s first generation Mac silicon that ushered in the begi

                                            Apple Announces M1 Pro & M1 Max: Giant New Arm SoCs with All-Out Performance
                                          • AMD Demonstrates Stacked 3D V-Cache Technology: 192 MB at 2 TB/sec

                                            AMD Demonstrates Stacked 3D V-Cache Technology: 192 MB at 2 TB/sec The AMD team surprised us here. What seemed like a very par-for-the-course Computex keynote turned into an incredible demonstration of what AMD is testing in the lab with TSMC’s new 3D Fabric technologies. We’ve covered 3D Fabric before, but AMD is putting it to good use by stacking up its processors with additional cache, enabling

                                              AMD Demonstrates Stacked 3D V-Cache Technology: 192 MB at 2 TB/sec
                                            • 新型「iPhone 15 Pro」シリーズに次世代チップ搭載で大幅性能向上、旧モデルからの買い替え需要が高まる可能性 - こぼねみ

                                              Appleが今年後半の発売に向けて準備を進めている新型「iPhone 15」について。 Appleの次期「iPhone 15 Pro」シリーズは、TSMCの第1世代3nmプロセスを採用したApple初のiPhoneチップであるA17プロセッサが可能にする大幅な性能向上により、古いiPhoneユーザーに「買い替え需要」が喚起される可能性をMacRumorsは伝えています。 新しいiPhoneのイメージDigiTimesの報道によると、TSMCのN3E(3nm Enhanced)技術により、次期iPhoneシリーズでは大幅なスペックアップが可能になります。iPhoneのサプライチェーンサプライヤーは、2023年モデルの買い替え需要を見込んでいようです。 TSMC's N3E (3nm enhanced) technology will enable significant specificat

                                                新型「iPhone 15 Pro」シリーズに次世代チップ搭載で大幅性能向上、旧モデルからの買い替え需要が高まる可能性 - こぼねみ
                                              • Inside Pascal: NVIDIA’s Newest Computing Platform | NVIDIA Technical Blog

                                                At the 2016 GPU Technology Conference in San Jose, NVIDIA CEO Jen-Hsun Huang announced the new NVIDIA Tesla P100, the most advanced accelerator ever built. Based on the new NVIDIA Pascal GP100 GPU and powered by ground-breaking technologies, Tesla P100 delivers the highest absolute performance for HPC, technical computing, deep learning, and many computationally intensive datacenter workloads. Tod

                                                  Inside Pascal: NVIDIA’s Newest Computing Platform | NVIDIA Technical Blog
                                                • iPhone 12に搭載されたApple A14 Bionicチップの進化はTSMCの5nmプロセスの本領を発揮できていない

                                                  iPad AirやiPhone 12、iPhone 12 Proに搭載されているApple A14 Bionicは64bit ARMv8-AベースのSoCで、TSMCの5nmプロセスノード「N5」を採用しています。前世代のApple A13 Bionicよりもトランジスタ数が増加した一方でダイサイズは小さくなり、性能も大幅に向上しています。そんなA14 Bionicのトランジスタ数とトランジスタ密度とプロセスノードの進化について、半導体関連のニュースを扱うニュースサイト・SemiAnalysisが解説しています。 Apple’s A14 Packs 134 Million Transistors/mm², but Falls Short of TSMC’s Density Claims – SemiAnalysis https://semianalysis.com/apples-a14-p

                                                    iPhone 12に搭載されたApple A14 Bionicチップの進化はTSMCの5nmプロセスの本領を発揮できていない
                                                  • 「Intelは大きな脅威ではない」と台湾の半導体メーカーTSMCの創設者が発言、2nmプロセスの開発が順調に進んでいることも明かす

                                                    台湾に本拠を置く世界最大級の半導体メーカー「TSMC」の創設者であるモリス・チャン氏が、TSMCを取り巻く地政学的な変化と半導体産業における競争の激化により、TSMCが困難に直面していることを明かしました。一方で同じく半導体を製造するIntelについて、「TSMCに対する主要な脅威とは見なしていません」と主張しています。 Morris Chang Asserts Intel Foundry Will Remain in TSMC's Shadow | Tom's Hardware https://www.tomshardware.com/news/morris-chang-asserts-intel-will-remain-in-tsmcs-shadow 示警地緣政治趨勢影響 張忠謀:台積電面臨嚴峻挑戰 | 產業熱點 | 產業 | 經濟日報 https://money.udn.com/mo

                                                      「Intelは大きな脅威ではない」と台湾の半導体メーカーTSMCの創設者が発言、2nmプロセスの開発が順調に進んでいることも明かす
                                                    • 北森瓦版 - SRAMのスケーリングが鈍化―TSMC N3世代ではもはや縮小は望めず

                                                      IEDM 2022: Did We Just Witness The Death Of SRAM?(WikiChip) TSMC's 3nm Node: No SRAM Scaling Implies More Expensive CPUs and GPUs(Tom's Hardware) 第68回IEEE International Electron Devices Meeting (IEDM) が開催された。 今回もまた興味深い論文が多数明らかにされたが、TSMCの論文の1つが悪いニュースを持ってきた。それは今後のプロセスシュリンクにおいて、ロジックはまだスケーリングが見込まれるものの、SRAMは既にスケーリングが望めないというものだった。 カンファレンスではTSMCはN3世代の基本となるN3Bとその拡張版であるN3Eについて講演した。N3EはN3Bのシュリンクを若干緩めたものである。

                                                      • NVIDIA Tegra X1 Preview & Architecture Analysis

                                                        In the past few years, we’ve seen NVIDIA shift their mobile strategy dramatically with time. With Tegra 2 and 3, we saw multiple design wins in the smartphone space, along with the tablet space. These SoCs often had dedicated GPUs that were quite unlike what we saw in NVIDIA’s desktop and laptop GPUs, with a reduced feature set and unique architecture. However, with Tegra K1 we saw a distinct shif

                                                          NVIDIA Tegra X1 Preview & Architecture Analysis
                                                        • 新型14インチ&16インチMacBook Proが今年第4四半期に量産開始へ:著名アナリスト - こぼねみ

                                                          Appleが発売の準備を進めている新型MacBook Proについて。 AppleアナリストMing-Chi Kuo氏は、14インチと16インチMacBook Proの次世代モデルが2022年第4四半期に大量生産を開始することを報告しています。 新しいMacBook Proのイメージただし、TSMCのガイダンスが3nmチップの生産による収益が2023年まで始まらないことを示していることから、14インチと16インチのMacBook Proに搭載されるプロセッサは、依然として5nmプロセスを採用している可能性があります。 New 14" and 16" MacBook Pro with new processors will enter mass production in 4Q22. Given TSMC's guidance that the 3nm will contribute rev

                                                            新型14インチ&16インチMacBook Proが今年第4四半期に量産開始へ:著名アナリスト - こぼねみ
                                                          • AMD and Intel Halt Processor Sales to Russia and Belarus (Updated)

                                                            (Image credit: Intel)In a sign that the United States government's export restrictions on semiconductor sales to Russia due to its war against Ukraine have been enacted swiftly, AMD and Intel have both confirmed they have suspended all chip sales to Russia and Belarus, confirming multiple prior reports. In addition, reports have also emerged that TSMC's decision to participate in the sanctions wil

                                                              AMD and Intel Halt Processor Sales to Russia and Belarus (Updated)
                                                            • High-Performance CPUs & GPUs From Intel, AMD, NVIDIA To See Up To 20% Price Hike In 2022

                                                              High-Performance CPUs & GPUs From Intel, AMD, NVIDIA To See Up To 20% Price Hike In 2022 2022 is starting off with really bad news for enthusiasts and high-performance CPUs & GPUs users as the big three, AMD, Intel, NVIDIA is planning to increase the prices of their chips by up to 20%. AMD, Intel, NVIDIA Are Preparing To Increase Prices of Their High-Performance CPUs & GPUs By Up To 20% In 2022 In

                                                                High-Performance CPUs & GPUs From Intel, AMD, NVIDIA To See Up To 20% Price Hike In 2022
                                                              • Apple iPhone 7 Teardown

                                                                Posted: September 15, 2016 Contributing Authors: Stacy Wegner, Al Cowsky, Chad Davis, Dick James, Daniel Yang, Ray Fontaine and Jim Morrison And the winner is….Intel, at least in the iPhone 7 A1778 we have in our lab. The Intel and Apple iPhone 7 rumors have been circulating around for a while, but from our experience, we know better not to believe everything we hear. However today seeing is belie

                                                                • Inside the next Xbox: Project Scorpio tech revealed

                                                                  "As we landed on 4K, Andrew [Goossen] and team did a pretty deep analysis," Gammill continues. "We have this developer tool called PIX [Performance Investigator for Xbox]. It lets us do some GPU trace capture. He and his team did a really deep analysis across a breadth of titles with the goal that any 900p or better title would be able to easily run at frame-rate at 4K on Scorpio. That was our big

                                                                    Inside the next Xbox: Project Scorpio tech revealed
                                                                  • 2020年のiPhone 12シリーズは10月後半発表で5Gモデルは11月発売? | Rumor | Mac OTAKARA

                                                                    ※本サイトは、アフィリエイト広告および広告による収益を得て運営しています。購入により売上の一部が本サイトに還元されることがあります。 中国の複数サプラーヤーの情報によると、これまでのiPhoneは、毎年9月に発表され9月中に発売されていますが、2020年発売のiPhone 12シリーズは、COVID-19の影響により10月後半に発表され、LTEモデルが10月中に発売が開始となり、5Gモデルは11月の発売になると予想している情報筋が多い状況です。 AppleのA14チップは、2020年第2四半期の終わりからTSMCの5ナノメートル製造プロセスにより製造され、LPDDR5メモリと統合されたパッケージング済みの半導体を最後に載せる、PoP(Package on Package)タイプの「InFO-PoP」 により生産されるようです。 According to the multiple Chine

                                                                      2020年のiPhone 12シリーズは10月後半発表で5Gモデルは11月発売? | Rumor | Mac OTAKARA
                                                                    • Comparison of Laptop Graphics Cards

                                                                      High-End Graphics Cards - These graphics cards are able to play the latest and most demanding games in high resolutions and full detail settings with enabled Anti-Aliasing. » NVIDIA NVIDIA GeForce RTX 4090 Laptop GPU High-end laptop graphics card based on the Ada Lovelace architecture. Offers 16 GB GDDR6 VRAM with a 256 Bit memory bus and can be configured with 80 - 150 TDP settings (+ Dynamic Boo

                                                                      • NVIDIA Turing Architecture In-Depth | NVIDIA Technical Blog

                                                                        Fueled by the ongoing growth of the gaming market and its insatiable demand for better 3D graphics, NVIDIA® has evolved the GPU into the world’s leading parallel processing engine for many computationally-intensive applications. In addition to rendering highly realistic and immersive 3D games, NVIDIA GPUs also accelerate content creation workflows, high performance computing (HPC) and datacenter a

                                                                          NVIDIA Turing Architecture In-Depth | NVIDIA Technical Blog
                                                                        • PEZY-SC2 - PEZY - WikiChip

                                                                          PEZY-SC2 (PEZY Super Computer 2) is a third generation many-core microprocessor developed by PEZY and introduced in early 2017. This chip, which operates at 1 GHz, incorporates 2,048 cores dissipating 180 W. The PEZY-SC2 powers the ZettaScaler-2.x series of supercomputers. Overview[edit] Introduced by PEZY along with their second-generation ZettaScaler-2.0 supercomputer series, the SC2 incorporate

                                                                            PEZY-SC2 - PEZY - WikiChip
                                                                          • AMD Ryzen 3rd Gen 'Matisse' Coming Mid 2019: Eight Core Zen 2 with PCIe 4.0 on Desktop

                                                                            This suggests that AMD’s new processors with the same amount of cores are offering performance parity in select benchmarks to Intel’s highest performing mainstream processor, while consuming a lot less power. Almost half as much power. That is a powerful statement. (ed: pun not intended) How has AMD done this? IPC or Frequency? We know a few things about the new Zen 2 microarchitecture. We know it

                                                                              AMD Ryzen 3rd Gen 'Matisse' Coming Mid 2019: Eight Core Zen 2 with PCIe 4.0 on Desktop
                                                                            • Rebuild: 295: Point of No Return (hak)

                                                                              Hakuro Matsuda さんをゲストに迎えて、COVID-19, チップ業界、CES, MacBook Pro, IoT などについて話しました。 Show Notes Bernie Sanders Chair Meme Photographer Details Famous Shot Covid-19 Vaccine Tracker Biden Removed Trump's Diet Coke Button from the Oval Office Desk PassMark CPU Benchmarks - AMD vs Intel Market Share Intel names Pat Gelsinger as new CEO and ousts Bob Swan Report: Intel Will Outsource Core i3 Production to TSMC

                                                                                Rebuild: 295: Point of No Return (hak)
                                                                              • AMD Succeeds in its 25x20 Goal: Renoir Crosses the Line in 2020

                                                                                Overall AMD has achieved a 5.02x performance gain with a 6.33x idle efficiency, which the company is wrapping up into a combined 31.77x performance efficiency metric. In speaking with AMD’s Sam Naffziger, he mentioned that when this project started, the company had created what it assumed would be the year-on-year targets for both the CPU and the GPU. Ultimately in 2014 AMD was very big on the het

                                                                                  AMD Succeeds in its 25x20 Goal: Renoir Crosses the Line in 2020
                                                                                • More on Apple’s A9X SoC: 147mm2@TSMC, 12 GPU Cores, No L3 Cache

                                                                                  Die Size: 147mm2, Manufactured By TSMC First off, Chipworks’ analysis shows that the A9X is roughly 147mm2 in die size, and that it’s manufactured by TSMC on their 16nm FinFET process. We should note that Chipworks has only looked at the one sample, but unlike the iPhone 6s there’s no reason to expect that Apple is dual-sourcing a much lower volume tablet SoC. At 147mm2 the A9X is the second-large

                                                                                    More on Apple’s A9X SoC: 147mm2@TSMC, 12 GPU Cores, No L3 Cache