タグ

ブックマーク / www.picfun.com (1)

  • VHDL

    【ユーザ定義の型】 ユーザは新しいデータ型を新たに定義することが出来ます。 その時使うのが type 、subtype という宣言文です。 (1) 型定義 新しい型定義に使う type文の書式は下記のような種類となります。 《列挙タイプの時》 type データ型名 is (要素、要素、・・・); 例 1週間の型 WEEK の定義 type WEEK is (SUN,MON,TUE,WED,THU,FRI,SAT); 例 std_logic の定義 このstd_logicは最もよく使う型ですが、これは、std_logic_1164という IEEEのパッケージの中で下記のように型宣言されています。 type std_logic is ('U','X','0','1','Z','W','L','H','-'); 《Integerタイプの時》 integerタイプではrangeで値の範囲を指定しま

    grafi
    grafi 2013/10/10
  • 1