並び順

ブックマーク数

期間指定

  • から
  • まで

81 - 120 件 / 160件

新着順 人気順

電気・電子工学の検索結果81 - 120 件 / 160件

  • 新・標準プログラマーズライブラリ RISC-Vで学ぶコンピュータアーキテクチャ 完全入門

    2024年2月24日紙版発売 2024年2月24日電子版発売 吉瀬謙二 著 B5変形判/320ページ 定価3,300円(本体3,000円+税10%) ISBN 978-4-297-14008-3 Gihyo Direct Amazon 楽天ブックス ヨドバシ.com 電子版 Gihyo Digital Publishing Amazon Kindle ブックライブ 楽天kobo honto 本書のサポートページサンプルファイルのダウンロードや正誤表など この本の概要 コンピュータアーキテクチャとは,より良いコンピュータの構成を模索し,設計し,実現するための方式です。学習には,重要概念の理解とハードウェアの設計,実装,そのシミュレーションによる動作確認と性能評価,また,FPGAなどにハードウェアを実装し,動作・検証・性能確認することが大切です。本書は,これらを通じてコンピュータアーキテクチャ

      新・標準プログラマーズライブラリ RISC-Vで学ぶコンピュータアーキテクチャ 完全入門
    • スバルのADAS半導体戦略、「FPGAで勝負する」

      「ADAS(先進運転支援システム)の進化は、半導体の進化そのものだ。性能とコストを両立するためには、半導体メーカーとスクラムを組んで先々のロードマップまで考える必要がある」。SUBARU(スバル)第一技術本部 自動運転PGM ゼネラルマネージャー 兼 先進安全設計部 担当部長の柴田英司氏は、同社のステレオカメラを用いたADAS「アイサイト(EyeSight)」の半導体戦略について、このように説明した。 同社は長年にわたりステレオカメラを内製で開発しており、2025年以降の次世代ADASにおいてもステレオカメラを中核とする考えである。 20年8月20日に予約を開始した新型ステーションワゴン「レヴォーグ」では、アイサイトの最新版を標準装備する(関連記事)。新世代アイサイトは、大きく3つの特徴がある。(1)交差点事故の対応強化、(2)高速道路での運転支援拡大、(3)ステレオカメラの刷新である。

        スバルのADAS半導体戦略、「FPGAで勝負する」
      • SNES on FPGA ∵スーファミ開発のメモランダム∴

        SNES on FPGAスーファミ開発のメモランダム DE2-115 FPGA board (1 chip SNES) スーファミは遊ぶためのもので、開発するためのものではありません。 SFC、つまりスーファミをFPGAで自作した、というお話。 ファミコンの時代、当時としては高い性能だったがそれ以上に実現できなかったクリエイターたちの世界が、 スーファミの時代になり少しずつ実現しつつあった(それはもうICが火を噴かんがごとく)。 デザイナー、バトルプログラマ、ドッター、サウンドプログラマ… 彼らの歴史の中で紡がれてきたものはなんだったのか。 スーファミ互換機の製作。それはスーファミにおいて最後のゲームとなる。 ▼ 開発環境 ハードウェア記述言語 SFL+、VerilogHDL、VHDL 使用FPGAボード Terasic DE1 2005~ Altera CycloneII 約18,000

          SNES on FPGA ∵スーファミ開発のメモランダム∴
        • AMD復活の功労者でFPGA開発企業CEOのジム・アンダーソン氏へのインタビューが公開中

          AMDのコンピューティングおよびグラフィックビジネス部門のシニア・ヴァイス・プレジデントを務め、ジム・ケラー氏が手がけたZenアーキテクチャによるRyzenシリーズの展開を指揮し、倒産寸前だったAMDの復活を影で支えた功労者として知られているジム・アンダーソン氏は、2018年にAMDを退社し、FPGAを中心に開発する半導体企業・ラティスのCEOに就任しました。そんなアンダーソンCEOへのインタビューを、ハードウェア関連ニュースサイトのAnandTechが公開しています。 An AnandTech Interview with Jim Anderson, CEO of Lattice Semiconductor https://www.anandtech.com/show/16879/an-anandtech-interview-with-jim-anderson-ceo-of-lattic

            AMD復活の功労者でFPGA開発企業CEOのジム・アンダーソン氏へのインタビューが公開中
          • 【エッジAI 2020カレンダー】 最新動向&トレンド 丸わかり|Marina Sakaguchi

            こんにちは、エッジAIスタートアップでマーケティングを担当しているMARINA🦋(@m__sb04)です。 新年明けてしまいましたが、2019年にエッジAI史というものを書きまして3年ほど遡って業界全体を振り返ることで思いのほか自分の中でも整理・活用できたので、その延長線上で2020年もエッジAIの動向やトレンドを振り返ってみようと思います。 ※ AIチップってそもそも何?という方は、前回の記事からご覧いただけると良いかと思います。 2020年は1月から突然 Xnor.aiがAppleに買収されるなど私としては驚く出来事からスタートしました。 半導体業界全体としては、9月にNVIDIAがARMを買収、米国がHuaweiへの制裁を強化し禁輸措置を取る(米政府は米国の技術を用いて製造した半導体をファーウェイに供給することを禁止)、10月にAMDがXilinxを買収など、後半にかなり大きな動き

              【エッジAI 2020カレンダー】 最新動向&トレンド 丸わかり|Marina Sakaguchi
            • IntelのFPGA事業、強いNVIDIAに歯が立たずAlteraに先祖返り

              米Intel(インテル)は、2015年12月の米Altera(アルテラ)の買収によって始めたFPGA(Field Programmable Gate Array)事業を仕切り直す。これまではデータセンターやクラウドに向けたハイエンド製品に傾斜していた。プライベートイベント「Intel FPGA Technology Day 2023」(米カリフォルニア州サンノゼ、2023年9月18日)においてミッドレンジやローエンドの新製品を発表し ニュースリリース 、FPGA市場全体をカバーすることを宣言した(図1)。買収前のAlteraに近い製品ラインアップを整え、2~3年後に行う計画のFPGA部門「Programmable Solutions Group(PSG)」のIPO(新規株式公開)を成功させることを狙う*1。 そもそもIntelがAlteraの買収によってFPGAを取得したのは、データセンタ

                IntelのFPGA事業、強いNVIDIAに歯が立たずAlteraに先祖返り
              • Verilatorの使い方(1. Verilatorの考え方と基本的なシミュレーション実行方法) - FPGA開発日記

                Verilatorについて全く知らない人が、どのように使えば良いのかきちんとした文章が世の中に存在していない気がするので、少しまとめてみることにした。VerilatorはフリーでオープンソースのVerilogシミュレーションシステムなので、うまく活用すれば強力な武器になる。高額なEDAツールを使わずとも家でハードウェア開発ができるようになる強力なツールだ。 www.veripool.org RTLシミュレータと言えば、有償のものも含めれば代表的なものは以下のようなものであろうか: Synopsys VCS : 有償。 Cadence Xcelium:有償。 Mentor QuestaSim:有償 と、ほとんどのツールが有償であるが、Verilatorは無償かつオープンソースである。かつ性能も高いので、オープンソースプロジェクトなどで使うのにはちょうど良い。 予め説明しておくが、Verila

                  Verilatorの使い方(1. Verilatorの考え方と基本的なシミュレーション実行方法) - FPGA開発日記
                • AMDが「世界最大規模」のFPGA、7nm世代ダイ4つを1パッケージに実装

                  AMDによれば規模の大きなFPGA製品の主要顧客は、EDA(Electronic Design Automation)ベンダー*3である。論理エミュレーターやプロトタイピングシステム*4といった検証装置に採用してきた(図3)。EDAベンダーの製品はソフトウエアがほとんどだが、論理エミュレーターとプロトタイピングシステムはFPGAなどのICを複数搭載するハードウエアである。これらのハードウエアは、大規模な論理回路の設計検証に使われる。ソフトウエアの論理シミュレーターでは、処理時間が長くかかってしまうからだ。論理エミュレーターとプロトタイピングシステムでは、検証したい論理をFPGAなどのICにマッピングする。これで論理シミュレーターよりも2~3桁以上高速な検証が可能になる。 *3:米Aldec(アルデック)や米Cadence Design Systems(ケイデンス・デザイン・システムズ)、米

                    AMDが「世界最大規模」のFPGA、7nm世代ダイ4つを1パッケージに実装
                  • Zynq MP SoC で楽しむエッジコンピューティング ~RTLプログラミングのススメ~

                    FPGAセミナー 2023/01/25 の発表資料です。 https://fixstars.connpass.com/event/271738/

                      Zynq MP SoC で楽しむエッジコンピューティング ~RTLプログラミングのススメ~
                    • 「FPGAの闇落ち」とルネサスのFPGA参入に思うこと

                      エレクトロニクス/組み込み業界の動向をウオッチする連載。今回は、2021年11月の動向から、RISC-V Days Tokyo 2021 Autumnで慶応大学の天野英晴教授が語った「FPGAの闇落ち」と、昨今のFPGA事情についてお届けする。 2021年11月18~20日にかけて、RISC-V Days Tokyo 2021 Autumnが開催された。初日のみはオンサイト+オンライン、2~3日目はオンラインのみの開催であり、既にプレゼンテーション・録画とも公開されているので、興味ある方はご覧いただければと思う。 そのRISC-V Days Tokyo 2021 Autumnの初っ端の基調講演で登壇した慶応大学の天野英晴教授のスライドがこちら(図1)。そしていきなり飛び出してきた言葉が「FPGAの闇落ち」である。

                        「FPGAの闇落ち」とルネサスのFPGA参入に思うこと
                      • 新生アルテラが再誕、インテルからの独立で「FPGAだけに専念できる」

                        インテルでFPGA製品を手掛けるPSG(Programmable Solutions Group)が、インテルからのスピンアウトによりアルテラ(Altera)として独立することを発表した。2015年のアルテラ買収から約10年間を経て、再びアルテラが独立企業としてFPGA製品を展開して行くことになる。 インテル(Intel)でFPGA製品を手掛けるPSG(Programmable Solutions Group)は2024年2月29日(現地時間)、PSGがインテルからのスピンアウトによりアルテラ(Altera)として独立することを発表した。2015年にインテルが167億米ドルで当時独立企業だったアルテラを買収して以降、独立した事業部門として統合された後、ネットワークやデータセンター、AI(人工知能)などを手掛ける事業部門に統合されるなどしていたが、再び独立企業のアルテラとして事業を展開して行

                          新生アルテラが再誕、インテルからの独立で「FPGAだけに専念できる」
                        • Precursor

                          Made For a Lab. Fits in a Pocket. Verifiable by Design.Precursor is an open hardware development platform for secure, mobile computation and communication. This pocket-sized device accommodates a built-in display, a physical keyboard, and an internal battery while remaining smaller and lighter than the average smartphone. Precursor was built for use on the road, but it compromises nothing as a dev

                            Precursor
                          • FPGA design for Software Engineers // Walk N' Squalk Coding Blog

                            Over the last few years I’ve gotten more interested in electronics and FPGA design. I’ve also noticed that a lot of other software folks seem interested in doing the same, but often don’t know where to start. So, I think I have some interesting advice for software engineers that feel like dipping their toes into the hardware world from the point of view of a software engineer. In this post I’ll go

                            • AIチップの性能評価基準を考えさせるFlex Logic AIプロセッサーの昨今 (1/4)

                              10月20日~29日にかけてLinley Processor Conference Fall 2020がオンライン開催となった。このカンファレンス、同じメーカーが複数の発表を別々に行なうという例はこれまでもあったのだが、(ほぼ)同じ内容の発表を2回行なうという例は今回が初めてではなかったかと思う(一応建前としてはチップ単体と搭載カードと別製品の体裁は取っているが)。 これが可能だった理由は、イベントのプレミア・スポンサーになっているからだろうか。ちなみにプレミア・スポンサーはスポンサー費用が一番高価で、3社がリストアップされているが、うち2社はARMとインテルである。 というわけで、残る一社としてプレミア・スポンサーを務めるとともに2回の発表を行なったのはFlex Logicである。読者の中でこの会社のことを良く知っているという方はそうはおられないと思う。 独自のFPGAファブリックのIP

                                AIチップの性能評価基準を考えさせるFlex Logic AIプロセッサーの昨今 (1/4)
                              • CPU実験が終わりました 〜コア係目線〜 - Mister雑記

                                この記事は以下に移植されました。 tiramister.net

                                  CPU実験が終わりました 〜コア係目線〜 - Mister雑記
                                • FPGAでのDNN(Deep Neural Network)の整理(LUT-Netまとめ) - Ryuzのブログ

                                  はじめに 現在DNN(Deep Neural Network)の実装において、FPGAの活用はしばし議論の対象になっています。もちろんDNN分野全体からするとニッチな部類に入るとは考えますが、FPGAベンダーはここに非常に力を入れており、作成したネットワークのデプロイ先としてFPGAが選択しやすくなるような各種のソリューションが用意され始めており、日々進化しています。 ここでのFPGAのメリットは、低消費電力であったり、コストであったりします。DNNの実行にはクラウドであっても電力というランニングコストは馬鹿になりませんし、エッジコンピューティング、特にバッテリー駆動のモバイル分野においては電力は極めて重要です。またイニシャルコストの重要性はどちらも同じでしょう。 ここでFPGAベンダーはこぞって、「GPUと同じように開発できます」をキャッチフレーズに、GPUを使って研究開発をしている多く

                                    FPGAでのDNN(Deep Neural Network)の整理(LUT-Netまとめ) - Ryuzのブログ
                                  • FPGAでAIに全振りしたAchronix AIプロセッサーの昨今 (1/3)

                                    今週のAIプロセッサーの昨今は少し変わったFPGAの話だ。Achronix SemiconductorのSpeedSter7tのサンプル出荷が今月8日に開始されたので、このSpeedsterを解説しよう。FPGAについては(もうだいぶ昔だが)連載349回で簡単に説明している。 まずはAchronix Semiconductorについて。ASCII.jpの過去記事になにかないか? と思ったら自分の記事だけだったので、まずはここから。Achronix Semiconductorは2004年創業の、比較的若いFPGA専業メーカーである。もともとはコーネル大学が10年ほど研究していたpicoPIPEという技術(同大はこれで特許も持っている)を使ってFPGAを構築しようという、なかなか意欲的な発想である。 創業者はそのコーネル大の卒業生であるClinton Kelly博士(現VP, Core Tec

                                      FPGAでAIに全振りしたAchronix AIプロセッサーの昨今 (1/3)
                                    • Fomu PVT1 - 超小型FPGA/RISC-Vボード

                                      USBポートにすっぽり収まる超小型のFPGAボードです。四つの入力用タッチパッドと、プログラマブルなRGB LEDを搭載。Pythonで開発可能です。 RISC-Vソフトコア(VexRISC-V)を実行可能なUSBブートローダーを搭載したファームウェアをデフォルトで搭載しています。 そのままUSBポートに挿せるプラスチックエンクロージャが付属します。 仕様 FPGA:Lattice ICE40UP5K 外部発振子:48 MHz RAM:128 KB フラッシュ:2 MB 接続: USB 2.0 FS(12 Mbps) タッチパッド:四つ(初期出荷設定では利用できません) LED: 1 x RGB ※FPGAには、1024キロビットのメモリが利用可能です。USBバッファなどの一時メモリに加えて、プロセッサレジスタファイルなどに他の部分のメモリブロックが使用されます。CPUは構成に応じて、64

                                        Fomu PVT1 - 超小型FPGA/RISC-Vボード
                                      • AMD、ザイリンクス買収で交渉が進んだ段階に-関係者

                                        米半導体メーカーのアドバンスト・マイクロ・デバイセズ(AMD)は、同業の米ザイリンクスを買収する方向で交渉が進んだ段階にある。事情に詳しい複数の関係者が明らかにした。300億ドル(約3兆2000億円)と評価される取引になる可能性があるという。 ザイリンクスの8日終値に基づく時価総額は259億ドルと、AMDの時価総額の約4分の1となっている。AMDの株価は今年に入って約2倍となった。 これら関係者が匿名で語ったところでは、状況は流動的であるものの、早ければ来週中に合意に至る可能性がある。交渉進展に関しては、米紙ウォールストリート・ジャーナル(WSJ)やダウ・ジョーンズ(DJ)通信が先に伝えていた。 買収が実現すればAMDのリサ・スー最高経営責任者(CEO)にとっては、利益率の高いデータセンター向け市場で優位に立つインテルを追撃するための足掛かりとなる。競合する米エヌビディアは既にメラノックス

                                          AMD、ザイリンクス買収で交渉が進んだ段階に-関係者
                                        • Ultra96-v2でCNN推論エンジン(DPU)を動かすまで - Qiita

                                          はじめに この記事はAIエッジコンテスト( https://signate.jp/competitions/191 )向けにXilinxのCNN推論エンジン(DPU)をUltra96-v2で動かすまでの記録です。 (一応コンテスト向けのレポートを兼ねています。) この記事ではDPUをなるべく直接触る方向で環境を作ります。 カスタマイズが不要な場合やVitisAI経由でDPUをつかたい方、Linux環境とメモリ16GB以上でストレージの空きも余裕がある方はUltra96V2向けVitis AI(2019.2)の組み立て方 を参照してください(強く強く推奨) また、Petalinux周りは今回初めて触ったため理解が怪しいところがあります。 ツールのバグも踏んだため、再現できない可能性がありますが、ご了承ください。 環境 メモリ要件が厳しく、短時間で用意できなかったため割と変な環境で無理やり進め

                                            Ultra96-v2でCNN推論エンジン(DPU)を動かすまで - Qiita
                                          • FPGAベースのAIアクセラレータを開発するための新たな開発環境を発表

                                            Xilinxは、統合ソフトウェアプラットフォーム「Vitis」を発表した。標準ライブラリを豊富に備え、既存のツールやフレームワークを使用できるため、ソフトウェア開発者はアルゴリズム開発に専念でき、ハードウェア開発者は生産性を向上できる。 Xilinx(ザイリンクス)は2019年10月1日、統合ソフトウェアプラットフォーム「Vitis(バイティス)」を発表した。同年11月にリリースの予定で、Xilinxボード向けに無償で提供する。 Vitisは、Xilinx FPGA、SoC、Versal ACAPで組み込みソフトウェアやアクセラレーションアプリケーションを開発するための総合環境。標準ライブラリを豊富に備え、ソフトウェア開発者は普段利用しているツールから最適化されたオープンソースライブラリにアクセスできる。ハードウェア開発者は、パッケージ化されたハードウェアモジュールを用いることで、生産性を

                                              FPGAベースのAIアクセラレータを開発するための新たな開発環境を発表
                                            • FPGA を使って基本的なアルゴリズムのソーティングを劇的に高速化 (2) | ACRi Blog

                                              ベースラインのマージロジック回路マージロジック回路の基本的な概念は2011年の国際会議 FPGA で Koch と Torresen によって紹介されました (論文 [3]) 。次の図はこの動作例を示します。 この例では、1, 3, 5, 7, 9, … というソートされた奇数の系列と、2, 4, 6, 8, 10, … というソートされた偶数の系列をマージして、1つのソートされた系列 1, 2, 3, 4, 5, 6, … を作ります。入力系列は FIFOA と FIFOB という2つの First In First Out バッファに格納されています。各クロックサイクルに、FIFOA と FIFOB の先頭レコード (つまり、それぞれのバッファに格納されているレコードの中の最小レコード) が比較され、小さい方がマルチプレクサ Mux により出力されます。サイクル1では、FIFOA の最

                                                FPGA を使って基本的なアルゴリズムのソーティングを劇的に高速化 (2) | ACRi Blog
                                              • 名刺大FPGA開発ボードの後継モデルを発売、新たに無線モジュールを追加

                                                アヴネットは2019年7月25日、FPGA開発プラットフォームの最新版「Ultra96-V2」の販売を開始したと発表した。新たに無線モジュールを搭載し、スマートホーム、自動運転車、工業制御など、IoT(モノのインターネット)アプリケーションや工業グレードのAI(人工知能)開発を支援する。価格は2万9800円だ。 Ultra96-V2は、2018年に発売した「Ultra96」の後継機。XilinxのプログラマブルSoC「Xilinx Zynq UltraScale+ MPSoC」を搭載する開発ボードだ。Ultra96同様、Linaroが提唱する「96Boards Consumer Edition」仕様に準拠している。大きさは85×54mm。USBやMini DisplayPortなどのインタフェース、2GBのLPDDR4メモリ、16GBのmicroSDなどを搭載する。 新機能として、Micr

                                                  名刺大FPGA開発ボードの後継モデルを発売、新たに無線モジュールを追加
                                                • AIエッジコンテスト(実装コンテスト)のチュートリアル【1: イントロダクション】 - Qiita

                                                  はじめに みなさまはじめまして。彼氏にしたくない大学で働いているNakaharaと申します。AIエッジコンテスト(ハードウェア実装)が開催されています。FPGAという書き換え可能LSIを使ったガチンコハードウェア実装コンテストで苦戦していると思います。私も10年くらいFPGA設計していますが、未だによくわかりません(笑)。てかGPU楽すぎだよそっちがいいっすよ1 ディープラーニングやってるけど、FPGAは初めてなんだよねぇ。。とか、バリバリロジック書いていますけど、AIもやってみたいねぇ、、とか、ソフトウェアは知ってるけど、ハードウェアやってみたいなぁ、と考えてる初心者向けのチュートリアルです。卒研とか修論が終わって春休みに暇しているかたとか大歓迎。数回に分けて連載しますのでお付き合いください。 AIエッジとは AI(Artificial Intelligence)が賑わっていますが、具体

                                                    AIエッジコンテスト(実装コンテスト)のチュートリアル【1: イントロダクション】 - Qiita
                                                  • オープンソースFPGAフォーマットを知る - FPGA開発日記

                                                    Google Open Source Blog の "FPGA Interchange format to enable interoperable FPGA tooling" を読んでわかったことをまとめる。 FPGAのツールチェインはそれぞれのベンダで発展しており、ツールチェイン間での互換性については考慮されることがあまりない。 HDLという言語は共通であるが、それらをFPGA向けビットストリームに変換するためのフォーマットがそれぞれ異なる。 各種FPGA向けビットストリームの生成ツールは以下のようなものがある。 オープンソースの論理合成用Yosys オープンソースの配置配線用のVtRおよびnextpnr Xilinx, Intel, Lattice, QuickLogicなどのベンダー・ツーリング 共通フォーマットを導入することで、各種ビルディングブロック内でのフォーマットを交換可能

                                                      オープンソースFPGAフォーマットを知る - FPGA開発日記
                                                    • 低レイヤー探訪記 - ソフトウェア エンジニアがFPGAでLチカするまで - ABEJA Tech Blog

                                                      ABEJA でプロダクト開発を行っている森永です。ABEJAアドベントカレンダー2023の22日目の記事です。普段の業務ではフロントエンドからバックエンドやインフラまで幅広く扱っています。今回は、一般的な Web 開発のスタックを飛び越えてより低レイヤーの領域に触れてみたくなり、以前から興味があった FPGA デバイスを触ってみましたのでこちらの記事にやったことをまとめてみました。 注: 筆者 FPGA は超初心者なため、あくまでも私のような初心者の方の参考となる記事に仕立ております。 1. FPGA とは? 1.1 概要 1.2 FPGA が身近に使われているところ 2. FPGA を使うと何が良いのか? 3. FPGA を始めるには何の言語を学べばいいのか? 4. 実際に簡単な回路を FPGA 上で動作させてみる 4.1 環境構築手順 4.1.1 Xilinx ISE Design S

                                                        低レイヤー探訪記 - ソフトウェア エンジニアがFPGAでLチカするまで - ABEJA Tech Blog
                                                      • GitHub - pgate1/SNES_on_FPGA: implemented SNES on an FPGA.

                                                        You signed in with another tab or window. Reload to refresh your session. You signed out in another tab or window. Reload to refresh your session. You switched accounts on another tab or window. Reload to refresh your session.

                                                          GitHub - pgate1/SNES_on_FPGA: implemented SNES on an FPGA.
                                                        • 【2024年最新】これから始める人のためのMiSTer FPGA入門 - レトロゲームで遊ぼう!

                                                          FPGAレトロな家庭用ゲーム機やアーケードゲーム、レトロPCなど、幅広いプラットフォームに対応したマルチシステムの『MiSTer FPGA』。ちょっと興味があるけど、なんかいろいろありすぎてどれを購入すればよく分からないという人向けのために、簡単な購入ガイドをご紹介していきます。 ▲PlayStationコアも正式リリースされ、ますます盛り上がってきた『MiSTer FPGA』。その前に、少しだけ『MiSTer FPGA』のご紹介をしておきましょう。この『MiSTer FPGA』は、プラットフォーム上に様々なコアを読み込んで、それぞれの機種にあったゲームなどが遊べるというものです。イメージとしては『RetroPie』に近いかもしれませんが、大きな違いはエミュレーターではなくFPGAでそれを実現しているところです。 エミュレーターはソフトウェア的に元のハードにあった機能を再現したものですが、

                                                          • GitHub - sylefeb/Silice: Silice is an easy-to-learn, powerful hardware description language, that simplifies designing hardware algorithms with parallelism and pipelines.

                                                            A language for hardcoding algorithms with pipelines and parallelism into FPGA hardware Quick links: To set up Silice, see the getting started guide. To see what can be done with Silice, check out the example projects (all are available in this repo). To start designing hardware, see learn Silice. Watch the introduction video on programming FPGAs with Silice (youtube). Watch the video on the IceV-d

                                                              GitHub - sylefeb/Silice: Silice is an easy-to-learn, powerful hardware description language, that simplifies designing hardware algorithms with parallelism and pipelines.
                                                            • TechCrunch | Startup and Technology News

                                                              Ahead of the AI safety summit kicking off in Seoul, South Korea later this week, its co-host the United Kingdom is expanding its own efforts in the field. The AI…

                                                                TechCrunch | Startup and Technology News
                                                              • ソニーのオーディオ技術を結集した超弩級パワードスピーカー「SA-Z1」

                                                                  ソニーのオーディオ技術を結集した超弩級パワードスピーカー「SA-Z1」
                                                                • ACRi Blog

                                                                  この記事では、ACRi ルームのコンピュータと FPGA を利用して、Verilog HDL で記述される簡単なカウンタ回路を動作させて、その挙動を確認する方法を説明します。 Verilog HDL で記述したハードウェアの内容や、論理合成、配置・配線といった処理の詳細は後回しにします。Vivado を活用して、FPGA を動かすこと、動かした FPGA の動作を確認することを目標にします。 FPGA の設計・開発に慣れていないみなさんを対象とする初級の内容です。ACRi ルームの...

                                                                    ACRi Blog
                                                                  • FPGAでミュージックシーケンサーを作る:注目デバイスで組み込み開発をアップグレード(20)(1/3 ページ) - MONOist

                                                                    注目デバイスの活用で組み込み開発の幅を広げることが狙いの本連載。第20回は、筆者が独断と妄想に駆られて作ってみたFPGAで制御するミュージックシーケンサーを紹介する。 はじめに 本連載は、古今東西面白いデバイスを筆者の嗅覚で探し出し実際の製作や実験を交えながら対象デバイスを深堀りすることがテーマです。今回は筆者がただただ独断と妄想に駆られて作ってみたものになりますが、FPGAで制御するミュージックシーケンサーを紹介したいと思います。 音楽と組み込みというとちょっと縁遠い関係に見えるかもしれませんが、音楽を奏でる楽器は最も古い精密機器の一つです。現在まで使われている絶対12音階は、既にバッハの時代からあったのでしょうか。それを楽器として実現するには、それなりの数学的知識も必要ですし、経年変化しない素材の選択や加工なども含めて、当時としてはハイテクの粋を結集した工芸品であったことは想像に難くあ

                                                                      FPGAでミュージックシーケンサーを作る:注目デバイスで組み込み開発をアップグレード(20)(1/3 ページ) - MONOist
                                                                    • Ubuntu Announces Official Support For The PolarFire SoC FPGA Icicle Kit RISC-V Board - Phoronix

                                                                      Ubuntu Announces Official Support For The PolarFire SoC FPGA Icicle Kit RISC-V Board Written by Michael Larabel in Ubuntu on 8 March 2023 at 10:45 AM EST. 5 Comments Following work bringing Ubuntu Linux to the RISC-V boards like the StarFive VisionFive 2, LicheeRV, Nezha, and others, Canonical today announced they have published an optimized RISC-V image for the Microchip PolarFire SoC FPGA powere

                                                                        Ubuntu Announces Official Support For The PolarFire SoC FPGA Icicle Kit RISC-V Board - Phoronix
                                                                      • FPGA を対象とした非同期式回路の設計 (1) | ACRi Blog

                                                                        皆さん、こんにちは。これから5回にかけて、FPGA に非同期式回路を実現するための方法を解説していきたいと思います。以前、こちらのブログでも紹介があった「非同期式回路と FPGA ~ 作ってみる編」(1) から (5) と被るところもありますので、ご了承いただきたいと思います。 内容を理解する上で、「非同期式回路と FPGA ~ 作ってみる編」を先に見ておいた方が良いです。あまり冗長にしないためにも、今回は非同期式回路とはどういったものかなどの説明は割愛させていただこうと思います。以下にリンクを掲載しますので、ご活用ください。 非同期式回路と FPGA 〜「作ってみる編」(1) 非同期式回路と FPGA 〜「作ってみる編」(2) 非同期式回路と FPGA 〜「作ってみる編」(3) 非同期式回路と FPGA 〜「作ってみる編」(4) 非同期式回路と FPGA 〜「作ってみる編」(5) はじめ

                                                                          FPGA を対象とした非同期式回路の設計 (1) | ACRi Blog
                                                                        • アダプティブコンピューティング研究推進体(ACRi)

                                                                          2024.02.28 活動報告書を掲載しました 2022.11.07 ACRi代表吉瀬の寄稿:『情報・システムソサイエティ誌』第27巻第3号に2ページの記事掲載(pp.8-9)「リコンフィギャラブルシステム研究最前線」 2022.07.20 ACRiで企画した電子書籍の第2弾「C++とVitisではじめるFPGAアクセラレータ開発」の発売を開始しました。Amazonで購入可能です。 2022.05.10 プレスリリース配信しました 2022.01.17 ACRiサロン企業インタビュー公開 2021.11.04 第6回ACRiウェビナーを配信しました 2021.06.30 活動報告書を掲載しました 2021.05.28 第一回ACRi討論会を配信しました 2021.03.31 活動報告書を掲載しました 2021.03.09 第三回ACRi Webinarを配信しました イベント 一覧はこちら

                                                                            アダプティブコンピューティング研究推進体(ACRi)
                                                                          • Alveo U50で10G Ethernetを試してみる - Fixstars Tech Blog /proc/cpuinfo

                                                                            XGMIIの制御信号 XGMIIではEthernetのフレームをSTARTからTERMINATEで囲まれた区間で表します。 また、START は必ずD[7:0]、つまり0番目のオクテットに現れることが規定されています。ただし、これは32bit PCSの場合で、64bit PCSの場合は0番目及び4番目のオクテットにSTARTが現れることに注意が必要です。 STARTの出現位置 Ethernetフレーム送受信中に何かしら異常が発生した場合は、TERMINATEではなく ERROR でフレームを終了します。 正常フレームと異常フレーム 以上より、XGMII経由でEthernetフレームを受信するには、Startを検出して、TerminateかErrorが来るまで間のデータを読み出しつづければよいことがわかります。ただし、前述の通りStartの位置が0オクテット目か4オクテット目か変化するので、

                                                                              Alveo U50で10G Ethernetを試してみる - Fixstars Tech Blog /proc/cpuinfo
                                                                            • [FPGA]非同期設計の考え方 by lyricalmagical | elchika

                                                                              はじめに PLD、みなさん使ってますか? 今回は実際に何かを作るのでは無く、RTLでの非同期設計について触れてみようと思います。 非同期設計はマルチクロックドメイン設計と言われることもあります。 非同期設計は、一見なにも問題無くシミュレーション上では動いてしまい、また、RTLをソフトウェアの延長線上で考えて設計すると失敗しやすいところです。 ですが、意外と設計技術についてあまり踏み込んだ解説をしているところは少ないと思います(少なくとも日本語では)。 おおよそ一般的に説明される内容としては、 非同期はやめとけ どうしても非同期設計をする場合は、シフトレジスタでメタステーブルを吸収しろ というものがおおいですが、実際にどのような設計にしたらよいのかがわかりにくいかと思います。 というわけで、具体的に例を挙げて説明していきます。 ※という内容なので、ある程度RTLを書ける人を前提とした内容です

                                                                                [FPGA]非同期設計の考え方 by lyricalmagical | elchika
                                                                              • Ultra96 で Julia set をぐりぐり動かせるやつを作った | Tosainu Lab

                                                                                import { Image } from 'astro:assets'; import dmabufSvg from './dmabuf.svg'; import nodmabufSvg from './nodmabuf.svg'; [Ultra96](https://www.96boards.org/product/ultra96/) というデバイスがあります。Ultra96 は Xilinx 社の [Zynq UltraScale+ MPSoC](https://www.xilinx.com/products/silicon-devices/soc/zynq-ultrascale-mpsoc.html) が載っている開発ボードで、[FPGA](https://en.wikipedia.org/wiki/Field-programmable_gate_array) 開発から最新の AR

                                                                                  Ultra96 で Julia set をぐりぐり動かせるやつを作った | Tosainu Lab
                                                                                • Intel、無償のRISC-V開発支援プログラムを始動

                                                                                  Intel、無償のRISC-V開発支援プログラムを始動:Intel Pathfinder for RISC-V(1/2 ページ) Intelは、RISC-Vコアの幅広い採用を支持する上で重要な意味を持つ新たな一歩を踏み出した。より具体的には、IP(Intellectual Property)プロバイダーの他、オペレーティングシステムやツールチェーン、ソフトウェア関連のパートナーから成るエコシステムと共に、新たな製品開発を支援するために一元化された統合開発環境(IDE)「Intel Pathfinder for RISC-V」を立ち上げた。 Intelは、RISC-Vコアの幅広い採用を支持する上で重要な意味を持つ新たな一歩を踏み出した。より具体的には、IP(Intellectual Property)プロバイダーの他、オペレーティングシステムやツールチェーン、ソフトウェア関連のパートナーから

                                                                                    Intel、無償のRISC-V開発支援プログラムを始動