エントリーの編集
![loading...](https://b.st-hatena.com/bdefb8944296a0957e54cebcfefc25c4dcff9f5f/images/v4/public/common/loading@2x.gif)
エントリーの編集は全ユーザーに共通の機能です。
必ずガイドラインを一読の上ご利用ください。
記事へのコメント1件
- 注目コメント
- 新着コメント
注目コメント算出アルゴリズムの一部にLINEヤフー株式会社の「建設的コメント順位付けモデルAPI」を使用しています
![アプリのスクリーンショット](https://b.st-hatena.com/bdefb8944296a0957e54cebcfefc25c4dcff9f5f/images/v4/public/entry/app-screenshot.png)
- バナー広告なし
- ミュート機能あり
- ダークモード搭載
関連記事
HDL-sample_056~094_02-2
61 15 > > > > > 62 library IEEE; use IEEE.std_logic_1164.all ; use IEEE.std_logic_unsigned.all ; ... 61 15 > > > > > 62 library IEEE; use IEEE.std_logic_1164.all ; use IEEE.std_logic_unsigned.all ; entity FIFO_SYNC is port ( RST : in std_logic; CLK : in std_logic; DIN : in std_logic_vector(7 downto 0); DOUT : out std_logic_vector(7 downto 0); WEN : in std_logic; REN : in std_logic; OE : in std_logic; EF : out std_logic; FF : out std_logic ); end FIFO_SYNC; architecture RTL of FIFO_SYNC is subtype
2015/06/10 リンク