エントリーの編集
エントリーの編集は全ユーザーに共通の機能です。
必ずガイドラインを一読の上ご利用ください。
FPGAでフィボナッチを計算してみる - Qiita
記事へのコメント0件
- 注目コメント
- 新着コメント
このエントリーにコメントしてみましょう。
注目コメント算出アルゴリズムの一部にLINEヤフー株式会社の「建設的コメント順位付けモデルAPI」を使用しています
- バナー広告なし
- ミュート機能あり
- ダークモード搭載
関連記事
FPGAでフィボナッチを計算してみる - Qiita
フィボナッチをFPGAで書いてみた http://qiita.com/kazunori279/items/70030eaa08fe632b6b49 こちら方の... フィボナッチをFPGAで書いてみた http://qiita.com/kazunori279/items/70030eaa08fe632b6b49 こちら方の記事をみて、高位合成ではなく、ガチのRTLでフィボナッチ数を計算したらどれぐらいの速さでできるのかやってみた。 ソースコード とりあえずこんな感じ? n_inに0~127をセットしてstartを'1'にすると計算を開始して、 計算が終わるとend_flgが’1’になってresultに計算結果が出力される。 LIBRARY ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity fib is port( clk : in std_logic; rst : in std_logic; n