タグ

2011年1月12日のブックマーク (1件)

  • Re:リリースされたパッチ (#1885609) | PHPの浮動小数点処理に無限ループのバグ | スラド

    文字列からdoubleへの変換で問題になるんだから80bitは関係ないと思う。 アンダーフローしたので0にしたかったのに、処理をミスったんでしょ。 ここ [sun.com]のIEEE754倍精度の正の最小正規数を見ると"2.2250738585072014e-308"だけど、 問題の"2.2250738585072011e-308"は最後の桁が3小さいからね。 正規化数から非正規化数への境界のところで起きてるっていうのはそのとおりだと思うけど。 > 文字列からdoubleへの変換で問題になるんだから80bitは関係ないと思う。 関係あるんですよそれが。今回の問題がまさにそれだったかはわからないけど。ちゃんと変換しようと思うと、10進表現を2進数表記に直した時の仮数部の最後の1ビットを決めるために、そこより下の方の桁も正確に求める必要があります(でないとどっちに丸めていいかわからない)。その