タグ

JTAGに関するxiangzeのブックマーク (6)

  • JTAG総合情報サイト | 特殊電子回路

    MITOUJTAGとは何か MITOUJTAGとは スクリーンショット 何ができるのか?(機能一覧) バウンダリスキャン可視化 JTAGロジックアナライザ その他の機能 導入するメリット 選ばれる3つの理由 デバッグスパイラルの回避 電子回路が一日で検証可能になる? 他社製品との違い 今後の開発予定(ロードマップ) 製品について 製品一覧表 MITOUJTAG Pro MITOUJTAG BASIC MITOUJTAG Light JTAGチャレンジ基板 (予約受付中) 機能と特徴 更新履歴 ご購入を検討中の方へ ご購入ガイド ご購入に関するQ&A お問合せフォーム お客様の声 サポート サポート・トップ 使い方ガイド(チュートリアル) 実機での使用例 動画でわかる!使い方 使い方PowerPoint New! ダウンロード JTAGについて JTAGとは何か JTAG技術情報 JTAGな

  • よくわかるバウンダリスキャン試験解説講座

    1.バウンダリスキャン概要 1.1 はじめに バウンダリスキャンテストは、1985年にヨーロッパのJETAG(Joint European Test Action Group)によって最初に提案されました。さらに1986年に米国企業のメンバが加わり、1990年に規格化されたのがIEEE std 1149.1-1990 Standard Test Access Port and Boundary-Scan Architectureです。この規格は、正式名称よりも、その作業グループの名称であるJTAG(Joint Test Action Group)が、規格名称であるかのように使われていますが、JTAGはあくまで作業グループの名称です。 バウンダリスキャンテストが制定された当初は、対応するデバイスが少なく、又PCBの製造性がよい日国内ではあまり普及しませんでした。しかし、昨今ではデバイスパッ

    xiangze
    xiangze 2010/05/03
  • JTAG - PukiWiki

    mm_Top_page CPLDをUSB経由でコンフィグレーションする(FT2232デバイスを使ったJTAG操作、SVF再生について) † このページではFT2232デバイスを使ったJTAG操作についてたっぷり語る。 ↑ JTAGとは † 簡単に言えばシリアルパラレル変換プロトコルである。 詳細な解説はhttp://ja.wikipedia.org/wiki/JTAGなど。 現状FPGA,CPLDのコンフィグやCPUのデバッグに使われることが多い。 さらなるJTAG情報は インターフェース2005年2月号からの連載:JTAG徹底活用研究←死ぬほど読んだ(感謝 その筆者のページ←BLOGは毎日読んでる(多謝 JTAGテストの基礎と応用...絶版だが などを参考にする。 ↑

  • なひたふJTAG日記

    連休の最終日なので、近場のドライブということで河口湖に行ってきました。ラベンダーがいい匂い。 なんか外国人観光客ばかり。外国人が来ないと経営が立ち行かないんでしょうね。 コキアが植えられていました。秋になったら面白いんでしょうね。 帰りは小仏トンネルでいつもどおり渋滞した後、石川PAでラーメンって帰りました。 M5StackBluetoothSerialの速度を測っています。 BluetoothSerialというのはUARTのシリアル通信風の通信なのですが、どうもCP2104やFT2232のシリアルとは使い勝手が違うようです。 通信実験をしているときのようすを下の動画で示します。 PCM5Stackも、双方ともに「長さ(2バイト)+ペイロード(任意長 32768バイトまで)+チェックサム(2バイト)」という単純な形でまとめたデータを送っているのですが、送信(PCM5Stack)は5

    なひたふJTAG日記
  • MITOUJTAG(みとうジェイタグ)

    JTAGは組み込みハードウェア開発コスト削減の切り札! MITOUJTAGは日製  ● ご意見・ご要望があれば迅速に対応いたします。 Made In Japanだからこそできる、信頼と安心とサポート。 MITOUJTAG(みとうジェイタグ)は、組み込み電子回路をより効率的に開発するためのデバッグ・ツールです。MITOUJTAGは世界で初めてJTAGバウンダリスキャンをFPGACPUのデバッグに効果的に活用できるようにしたツールです。 昨今の電子回路は高密度化のため、信号の観察が困難になりましたが、MITOUJTAGを使えばI/O端子の状態をパソコンの画面で見ることができます。 また、FPGAの論理合成やCPUのプログラムをコンパイルすることなくICの端子を自由自在に操作することもでき、回路設計者がデスクトップ上でプリント基板の実装検査を行うことも可能になります。 最先端の組み込み機

  • TINIのJTAGライブラリとSVFファイルを使用してザイリンクス社製PROMデバイスをプログラムする - マキシム

  • 1