並び順

ブックマーク数

期間指定

  • から
  • まで

1 - 40 件 / 50件

新着順 人気順

TSMCの検索結果1 - 40 件 / 50件

  • ついにAppleのモバイルCPUの製造がアメリカ・アリゾナ州のTSMC工場で開始される

    by 李 季霖 世界最大の半導体ファウンドリであるTSMCは、2021年からアメリカ・アリゾナ州で新工場の建設を進めており、AppleはTSMCのアリゾナ工場に半導体製造を依頼することを明言していました。そんなアリゾナ工場でTSMCはモバイル向けSoCである「A16 Bionic」を製造していることを、テクノロジーコラムニストのティム・カルパン氏が伝えています。 Apple Mobile Processors Are Now Made in America. By TSMC https://timculpan.substack.com/p/apple-mobile-processors-are-now-made Appleは長年にわたり製品のほとんどを中国にある工場で製造してきましたが、近年ではアメリカと中国の間での貿易摩擦が強まっていることを受け、Appleは「脱中国」を進めており、中国

      ついにAppleのモバイルCPUの製造がアメリカ・アリゾナ州のTSMC工場で開始される
    • 米半導体大手クアルコム インテルに買収を打診か | NHK

      アメリカの有力紙「ウォール・ストリート・ジャーナル」などは20日、アメリカの半導体大手 クアルコムが同業のインテルに買収を打診したと報じました。 アメリカの有力紙「ウォール・ストリート・ジャーナル」などは20日、ここ数日の話としてアメリカの半導体大手 クアルコムが業績の低迷が続く同業のインテルに買収を打診したと報じました。 インテルの時価総額は、930億ドル、日本円にして20日時点で13兆4000億円余りで、クアルコムがインテルの会社全体を買収すれば巨額の取り引きとなります。 ただ、ウォール・ストリート・ジャーナルは、関係者の話として「合意にはほど遠い」と伝えているほか、日本の独占禁止法にあたる反トラスト法の審査対象になる可能性が高いとも指摘しています。 インテルは、半導体の設計に加え、受託製造にも力を入れてきましたが 半導体の製造に特化した台湾のメーカー TSMCや生成AI向けの半導体に

        米半導体大手クアルコム インテルに買収を打診か | NHK
      • 第292回 落日のIntel? いまIntelに何が起きているのか

        いまIntelに何が起きているのか? Intelの業績に陰りが見える。そのためか、1万5000人を解雇(レイオフ)することを発表した。ファウンドリ事業も分離される方向に動いているようだ。いまIntelに何が起きているのだろうか? Intelの終わりの始まりなのか、それとも再生への第一歩なのか、筆者がIntelの現状を分析してみた。画面はIntelのプレスリリース「Actions to Accelerate our Progress」より。 Intelにもついに年貢の納め時がやってきているようだ。2024年8月1日付のプレスリリース(とはいえ、CEOのPat Gelsinger(パット・ゲルシンガー)氏からの「従業員向け」である)で大規模な人員削減と配当金ゼロが発表されている(Intelのプレスリリース「Actions to Accelerate our Progress」)。 Intelに

          第292回 落日のIntel? いまIntelに何が起きているのか
        • AMDやIntelと深いかかわりあいのあるPlayStationの半導体 - 吉川明日論の半導体放談(313)

          PlayStation 5 Pro(PS5 Pro)が発表された。GPUの演算ユニット数のPlayStation 5(PS5)比で67%の増加とメモリーの28%高速化させることで実現したハードウェアの性能向上に見合う強気な値段設定は、PlayStationとしての新製品に懸ける意気込みを感じる。これまでの主力であったPS5と比較して遥かに高いグラフィック性能は、シーンに応じたダイナミックな光の反射や屈折を表現し、私のような門外漢にもレイトレーシング性能の段違いな進化が感じられる。演算エンジンに使用されているGPUはPS5同様AMDが設計したAPUだ。 PS5 Proの外観 (C)2024 Sony Interactive Entertainment Inc. PS5 Proの発表から間もなく、ロイター通信が「PlayStaion 6(PS6)のエンジン競争でIntelが敗れる」と指摘した興

            AMDやIntelと深いかかわりあいのあるPlayStationの半導体 - 吉川明日論の半導体放談(313)
          • 「iPhone 17」は3nmチップ技術、「iPhone 18」は一部モデルが2nm採用へ:著名アナリスト - こぼねみ

            Appleが2025年に発売する「iPhone 17」シリーズについてAppleアナリストMing-Chi Kuo氏はTSMCのN3P 3nm技術で製造されると予測しています。さらに2026年の「iPhone 18」シリーズはTSMCの2nmプロセスを採用するも、コスト上の問題から全てのモデルが2nm技術を使うかどうかはわからないとし、一部モデルだけになることを示唆しています。 3nmプロセスチップのイメージ「3nm」や「2nm」という用語は、チップ製造技術の世代を表し、数字が小さくなるにつれ、一般的にトランジスタのサイズが小さくなります。それにより1つのチップにより多くを詰め込むことができるようになり、一般に処理速度の向上と電力効率の改善がもたらされます。 Appleは昨年、iPhoneとMacに3nmチップを採用しました。iPhone 15 Proシリーズに搭載されているA17 Pro

              「iPhone 17」は3nmチップ技術、「iPhone 18」は一部モデルが2nm採用へ:著名アナリスト - こぼねみ
            • TSMCとサムスン、UAEで半導体工場の建設協議 WSJ報道 - 日本経済新聞

              【台北=龍元秀明】米紙ウォール・ストリート・ジャーナル(WSJ)は22日、半導体世界大手の台湾積体電路製造(TSMC)と韓国サムスン電子がそれぞれ、アラブ首長国連邦(UAE)で半導体工場の建設を協議していると関係者の話を基に報じた。WSJによると、TSMCの最高幹部やサムスンの上層部が最近、UAEを訪れて工場建設について話し合った。TSMCは同社が運営する最大かつ最先端の工場と同等の施設の建設

                TSMCとサムスン、UAEで半導体工場の建設協議 WSJ報道 - 日本経済新聞
              • 近づく2つのシリコンアイランド 九州と台湾、相互に依存 シリコンアイランド・台湾とともに まとめ読み - 日本経済新聞

                台湾積体電路製造(TSMC)の熊本進出が明らかになっておよそ3年。すでに第1工場が開所し、第2工場の建設も決まった。第3工場の誘致に向けた動きも活発になるなか、九州企業が世界最大級の半導体生産基地である台湾とのビジネス拡大に動いている。台湾側の九州進出意欲も旺盛で、ふたつのシリコンアイランドが急速に接近している。(上)これが「時は金なり」か 台湾流、九州半導体企業に洗礼「こんな技術は台湾にない。どうやってつくっているのですか」。4〜6日、台北市で開かれた国際的な半導体展示会「セミコン台湾」。福岡県ブースに出展した金型メーカー、ワークス(福岡県遠賀町)の三重野計滋社長に、台湾中部の台中市からやってきた半導体関連企業の担当者が矢継ぎ早に質問を投げかけた。…記事を読む

                  近づく2つのシリコンアイランド 九州と台湾、相互に依存 シリコンアイランド・台湾とともに まとめ読み - 日本経済新聞
                • Nextorage NE1N8TB/GHNELレビュー:たった1枚で容量8 TBの超大容量M.2 SSD【耐久性は10 PBW】 | ちもろぐ

                  エンタープライズ向け製品に数多くラインナップがある「超大容量かつ高性能なSSD」ですが、一般向けのM.2 2280サイズだとほとんど選択肢がなかったです。 今回レビューする「Nextorage NE1N8TB/GHNEL」は、そんな世にも珍しいM.2 2280規格で容量8000 GB(8 TB)を実現した、コンシューマ向けの超大容量NVMe SSDです。 神奈川県に本社がある日本メーカー「Nextorage_JP(@Nextorage_jp)」様より、レビュー用にサンプルを1枚提供してもらったので、性能を詳しくレビューします。 やかもちたった1枚で「容量8000 GB」、しかもQLC NANDじゃなくて「TLC NAND」搭載。 この記事の目次 Toggle (公開:2024/9/20 | 更新:2024/9/20) Sponsored Link Nextorage NE1Nのスペックと仕

                    Nextorage NE1N8TB/GHNELレビュー:たった1枚で容量8 TBの超大容量M.2 SSD【耐久性は10 PBW】 | ちもろぐ
                  • M4搭載Macモデルが来月発表か〜5つの大きな変更点に関する噂とは - iPhone Mania

                    Appleは2024年10月に新製品イベントを開催し、M4シリーズチップ搭載Macモデルを複数発表するとみられています。 M4シリーズチップ搭載Macモデルでは、5つの大きな変更が行われるとし、9to5Macがまとめています。 このイベントでは、iPad mini 7も発表される可能性があります。 M4シリーズチップ搭載Macモデルで行われる5つの変更点とは 9to5Macが、M4シリーズチップ搭載Macモデルで行われる5つの変更点として、下記を挙げています。 最小RAM容量が16GBに増加 Mac miniのデザインを刷新 Mac用アクセサリーの外部接続端子をUSB-Cに変更 M4搭載MacBook Proの本体カラーに、「スペースブラック」を追加 M4 ProとM4 Maxの発表 最小RAM容量が16GBに増加 M3搭載14インチMacBook ProやMacBook Airの最小RA

                      M4搭載Macモデルが来月発表か〜5つの大きな変更点に関する噂とは - iPhone Mania
                    • 半導体のSRAMサイズ - Vengineerの妄想

                      はじめに 半導体において、スケーリングで各世代で倍半分というのがずーと続いていましたが、7nm を超えて、5nm ぐらいからSRAMに関してはサイズが小さきならなくなってしまいました。 それがぱっと見わかる図がXの投稿に流れてきたので、記録に残します。 Why did SRAM scalling stall at th 3nm node ? と書かれた下記のXの投稿に、各プロセスのSRAMのサイズが載っています。 Why did SRAM scaling stall at the 3nm node? pic.twitter.com/6AiWPUhJ10— 🌿 lithos (@lithos_graphein) 2024年9月12日 投稿が無くなるともったいないので、図を説明のために引用します。 65 nm, 0.570 um2 45 nm, 0.346 um2 32 nm, 0.171

                        半導体のSRAMサイズ - Vengineerの妄想
                      • 「欧州半導体法」で主導権争いに加わるEU 世界シェア20%を目指す

                        EUは「欧州半導体法」を制定し、半導体業界における競争力とレジリエンス強化を目指している。同法に基づいて430億ユーロの資金が調達される予定で、既に複数の工場や研究開発施設の建設プロジェクトが進行している。 現在の成長分野である電動車(xEV)や、自動運転、AI(人工知能)、クラウドコンピューティング、宇宙、再生可能エネルギー、スーパーコンピュータ、コネクティビティ、防衛などは全て、半導体に依存し、性能向上のための継続的な取り組みを頼みの綱にしている。世界最大の経済大国である米国が、国内の新しいパイロットラインや工場に多額の投資を行うのも当然のことだ。多くの国々が、半導体工場の地理的分布の偏りによる格差に対応すべく、現地の半導体設計/製造を支援するための「半導体法」の可決や、景気刺激策を講じている。世界経済の基盤になっている微妙なバランスは、地政学的な要因や市場の混乱、輸出規制、貿易摩擦な

                          「欧州半導体法」で主導権争いに加わるEU 世界シェア20%を目指す
                        • chako|note

                          高市早苗議員は国家観家族観がまともな方で旧統一教会との関係はむしろ問題なく立民の脱会屋との関係や脱会屋による拉致監禁強制棄教で信仰の自由侵害が問題と判明.高市早苗議員の問題は深田萌絵さん指摘の産活法でTSMC公害.離婚後共同親権が夫婦別姓に繋がりかねない点も小林鷹之氏が総裁が良い

                            chako|note
                          • 「カイゼン」を捨てて「改ざん」ですか--「検査」「補修」のコストカットをするのは,もはや日本企業じゃない【追記】 - jeyseni's diary

                            JR貨物の車軸荷重の検査不正が明らかになったのも束の間,今度は都営地下鉄と東京メトロでも同様の検査不正が明らかになった。【追記】2024/9/20ニュースで,京王電鉄でも検査不正が明らかになった。 日本の自動車メーカー5社(トヨタ自動車、マツダ、ヤマハ発動機、ホンダ、スズキ)でも,性能試験不正がおこなわれていた。 かつてドイツのフォルクスワーゲンが,ディーゼルエンジン車で日本の排ガス規制を逃れるための不正を働いて問題になった。IHIや川崎重工業による舶用エンジン不正も発覚している。 いずれも,「検査」工程で数字を改ざんしている。規定の数値に達していないことがわかれば,通常はこれを生産工程,あるいは設計工程まで遡って改善する必要がある。当然,これによって製品は出荷できなくなり,納期に間に合わなくなる。売上が上がらなくなる上に,再設計,再生産のコストがかかる。製造業にとっては痛手となる。 性能

                              「カイゼン」を捨てて「改ざん」ですか--「検査」「補修」のコストカットをするのは,もはや日本企業じゃない【追記】 - jeyseni's diary
                            • 【深層韓国】〝サムスン凋落〟の日 半導体は「歩留まりの悪さ」で米大手から見放され…TSMCに受注競争で敗北 囁かれる「韓国こける」の現実味(1/2ページ)

                              「サムスンこけたら、韓国こける」と言われるほど、韓国経済にとってサムスン財閥、とりわけ中核企業であるサムスン電子の存在は大きい。 そのサムスン電子に関して、このところ「暗いニュース」ばかりが相次ぐ。中には「ファウンドリ(システム半導体の委託生産)部門からの撤退も視野に入れているのだろうか?」と思わすような記事もある。 《サムスン電子 テイラーで荷づくり 李在鎔(イ・ジェヨン)の「ファウンドリ1位の夢」とりあえずストップ》との見出しのマネートゥデイ(9月11日)の特ダネ報道は衝撃十分だった。 サムスンの総帥である李在鎔氏が「2030年までにファウンドリを含むシステム半導体分野で確実に1位になる」と宣言して建設したのが、米テキサス州のテイラー工場だ。 ところが、見込んでいた米半導体大手「AMD」、同「エヌビディア」、同「クアルコム」、米IT大手「アップル」からの発注がなく、韓国から派遣した人員

                                【深層韓国】〝サムスン凋落〟の日 半導体は「歩留まりの悪さ」で米大手から見放され…TSMCに受注競争で敗北 囁かれる「韓国こける」の現実味(1/2ページ)
                              • TSMC特需に沸く熊本経済、日本再生のモデルケースになり得るのか

                                TSMC特需に沸く熊本経済、日本再生のモデルケースになり得るのか Alastair Gale、野原良明 30年にわたり経済が停滞した日本で再生の芽が表れている。古くからキャベツ栽培が盛んな熊本県の菊陽町で起きている変化はその最たる例だ。 農地が広がっていた土地に新設された半導体工場の周辺では、アパートやホテル、自動車ディーラーなどの建設ラッシュが起きた。半導体受託生産で世界最大手の台湾積体電路製造(TSMC)が運営するこの工場は今年、操業を開始し、さらに隣接地に第2工場の建設が予定されている。高まる需要を受けてサプライヤーや関連産業の進出が相次ぎ、求人や人口が増加。同地域の賃金と地価は大きく上昇している。 もっとも、工場から車で1時間以内の美里町で目にしたのは、経済が厳しい地方でよく見られる光景だ。かつてにぎわっていた目抜き通りは今、軒並みシャッターが閉じられている。1947年のピーク時に

                                  TSMC特需に沸く熊本経済、日本再生のモデルケースになり得るのか
                                • 半導体大口投資家の心変わり…UAE、サムスン電子とTSMCの工場誘致推進(中央日報日本語版) - Yahoo!ニュース

                                  中東が半導体の「投資家」から「工場主」への変身を狙う。アラブ首長国連邦(UAE)のような石油大国が人工知能(AI)など先端技術に大規模投資をするだけでなく、自国に先端半導体製造基地を作ろうとしているという。 ウォール・ストリート・ジャーナルは23日、サムスン電子とTSMCがUAEに大規模な最先端半導体工場を作るプロジェクトをUAE政府と協議中だと報道した。最近TSMC最高経営陣とサムスン電子の高位幹部がそれぞれUAEを訪問したという。同紙は、このプロジェクトは1000億ドル(約14兆3585億円)規模で、UAE政府系ファンドのムバダラが資金調達を引き受けることになるだろうと報道した。 サムスン電子は中央日報の問い合わせに対し確認できる内容はないと答え、TSMCはブルームバーグに「いま明らかにできる新たな投資計画はない」と答えた。 ◇「大口投資家」から「製造」にシフトか これまで中東はAIや

                                    半導体大口投資家の心変わり…UAE、サムスン電子とTSMCの工場誘致推進(中央日報日本語版) - Yahoo!ニュース
                                  • 第292回 落日のIntel? いまIntelに何が起きているのか

                                    いまIntelに何が起きているのか? Intelの業績に陰りが見える。そのためか、1万5000人を解雇(レイオフ)することを発表した。ファウンドリ事業も分離される方向に動いているようだ。いまIntelに何が起きているのだろうか? Intelの終わりの始まりなのか、それとも再生への第一歩なのか、筆者がIntelの現状を分析してみた。画面はIntelのプレスリリース「Actions to Accelerate our Progress」より。 Intelにもついに年貢の納め時がやってきているようだ。2024年8月1日付のプレスリリース(とはいえ、CEOのPat Gelsinger(パット・ゲルシンガー)氏からの「従業員向け」である)で大規模な人員削減と配当金ゼロが発表されている(Intelのプレスリリース「Actions to Accelerate our Progress」)。 Intelに

                                      第292回 落日のIntel? いまIntelに何が起きているのか
                                    • クアルコムのインテル買収も、インテルの受託製造分社化も「合理的だが」成功する気がしない理由

                                      米ウォール・ストリート・ジャーナルの9月20日付報道によれば、米半導体大手クアルコム(Qualcomm)は同インテル(Intel)に買収を打診した模様だ。 インテルのパット・ゲルシンガー最高経営責任者(CEO)は9月16日、従業員向けの公開書簡で、米アマゾン・ウェブ・サービス(AWS)との戦略提携の強化や受託生産(ファウンドリ)部門の分離・子会社化、実施中の1万5000人規模の人員削減を完遂する方針などを再確認したばかりだ。 1968年創業のインテルは、日本でも1990年代の「インテル入ってる」キャンペーンを契機に広く知られる米国の大手半導体メーカーだが、ここ数年は市場シェアを侵食されて衰退の危機に瀕している。 クアルコムによる買収が仮に実現すれば、インテルにとっては救いとも言える手元資金を得られるものの、半導体業界をカバーする複数のアナリストは、反トラスト(独占禁止)法の審査対象になる可

                                        クアルコムのインテル買収も、インテルの受託製造分社化も「合理的だが」成功する気がしない理由
                                      • iPhone 17モデルはTSMCの強力な3nm A19 Proチップを搭載して発売され、N3Eよりも優れたパフォーマンスを実現するN3Pプロセスを採用 - ハオのガジェット工房

                                        Appleが来年発売予定のiPhone 17 Proに搭載されるA19 Proチップは、TSMCの最新3nmプロセス「N3P」を採用し、大幅な性能向上が見込まれることが分かりました。 これまでiPhone 16 Proに搭載されたA18 Proチップは、TSMCのN3Eプロセスを採用していましたが、N3Pはさらに性能を追求したプロセスです。これにより、iPhone 17 Proは、前モデルを大きく凌ぐ高速な処理能力と、より効率的なバッテリー駆動時間を実現すると期待されています。 N3Pプロセスとは? N3Pプロセスは、トランジスタのサイズをさらに小さくすることで、より多くのトランジスタをチップ内に収めることを可能にします。これにより、チップのパフォーマンスが向上し、消費電力を抑えることができます。また、N3Pプロセスは、N3Eプロセスよりも製造が複雑で、歩留まりが低くなる可能性があります。

                                          iPhone 17モデルはTSMCの強力な3nm A19 Proチップを搭載して発売され、N3Eよりも優れたパフォーマンスを実現するN3Pプロセスを採用 - ハオのガジェット工房
                                        • NVIDIA、市場の熱狂も成長に2つの懸念 TSMCの動向と価格戦略

                                          この記事の3つのポイント 米エヌビディアに対する市場の熱狂が止まらない だが、懸念材料は2つ。一つはTSMCの製品サイクル もう一つは価格。販売増と高利益率のどちらを選ぶか AI向け半導体が好調な米エヌビディアの成長は当分続くと予想され、市場では同社株への熱狂が止まらない。だが懸念材料が2つある。一つは製造受託のTSMCがエヌビディアの新たな製品サイクルに対応できるかという点。もう一つは製品価格だ。生成AIは今のコストでは普及が見込めず、価格を下げれば同社の成長は期待を下回る。 もちろんウォール街はセレンゲティ国立公園ではないし、投資情報番組の司会者ジム・クレイマー氏の甲高い声は、野生動物番組のナレーター、デビッド・アッテンボロー氏の心地よい声とは比較にならない。だが、投資家たちがひたすらエヌビディア株に突進する姿は、ある意味でやはり壮観だ。 エヌビディアの時価総額は、2023年初の350

                                            NVIDIA、市場の熱狂も成長に2つの懸念 TSMCの動向と価格戦略
                                          • "半導体強国"台湾の政府高官 今後の日本の半導体「世界をリードすることは難しい」|日テレNEWS NNN

                                            台湾で成長戦略を担当する国家発展委員会の劉鏡清委員長(大臣に相当)が日本テレビの単独インタビューに応じた。世界大手の半導体メーカーTSMCを支援する台湾政府が描く今後の計画は?TSMCの第3工場誘致にあたって劉委員長が考える3つの要素は? ■「日本と台湾の架け橋が両国のスタートアップの歴史を変える」台湾がアジア初めてのスタートアップ交流拠点を日本に開設今月17日、18日に開催された「日本・台湾イノベーションサミット」。台湾から50社近くのスタートアップ企業を招いて日本企業などとの連携を強化し、AIなどの分野でビジネスチャンスを創出することを目的としている。日本と台湾の企業が継続的に交流を図っていけるよう、東京・浜松町に拠点もオープンした。 劉委員長は開幕式のスピーチで「台湾から日本まで橋を架けることができた。この架け橋が両国のスタートアップの歴史を変えると思う」と日本と台湾の交流に強い期待

                                              "半導体強国"台湾の政府高官 今後の日本の半導体「世界をリードすることは難しい」|日テレNEWS NNN
                                            • 半導体 をめぐり高まる台湾の地政学リスク - Basepace

                                              現在、世界で最も重要な資源とみなされている『 半導体 』。 スマホやパソコン・電化製品などで必要になるため、現代社会において半導体はなくてはならない資源です。 また、軍事物資としても使われミサイルや迎撃システムと幅広く活用されています。 これにより、各国は半導体の安定確保に動き出しており、競争が激化しています。 いわゆる半導体戦争が起こり地政学リスクの回避が求められます。 今回は、半導体製造の中心地である台湾で高まる地政学リスクについて見ていきましょう! 中国が台湾有事を行う理由に半導体の確保が含まれているからです。 中国は台湾にある半導体の有料メーカーを取り込み自分のものにしたいのです。 現在、世界の半導体製造の中心になっているのが台湾で、世界的な有力メーカーが多数存在します。 半導体デバイスを生産する工場は台湾メーカーが世界の66%を占めています。 特に「TSMC」は世界最大級の半導体

                                              • 国民の幸福は彼らのビジネスの敵

                                                ※トップ画像は国民の幸福を増やそうという考えがない事がよくわかるスローガン 昨日、当ブログにこのようなコメントをいただきました。 ―― 憂国者 茶請け様 いつも素晴らしい記事をありがとうございます。 さて、本日の記事について、マスゴミが、政府はお米の輸出量を大幅に増やしたのでけしからんと訴えていることに対して、印象操作していることを指摘され、その上で生産量に対して出荷量を明示いただき、マスゴミの印象操作について理解ができました。ありがとうございます。 記事にある健康保険証不正利用の件で、判明しているだけでも医療費1,000億円が不正利用されているとのことですが、分母をお示しくださればより説得力が増すと思います。茶請け様は、豊富なご経験と取材力がお持ちでございますので、ぜひご教示くださいませ。 ―― コメントありがとうございます。 コメントの向こう側でドヤ顔しているであろう様が窺えます。 ち

                                                • "半導体強国"台湾の政府高官 今後の日本の半導体「世界をリードすることは難しい」 (日テレNEWS NNN)

                                                  【動画を見る】台湾の国家発展委員会・劉委員長 日台の半導体産業“互いの強み生かし補完を” 台湾で成長戦略を担当する国家発展委員会の劉鏡清委員長(大臣に相当)が日本テレビの単独インタビューに応じた。世界大手の半導体メーカーTSMCを支援する台湾政府が描く今後の計画は?TSMCの第3工場誘致にあたって劉委員長が考える3つの要素は? ■「日本と台湾の架け橋が両国のスタートアップの歴史を変える」台湾がアジア...

                                                    "半導体強国"台湾の政府高官 今後の日本の半導体「世界をリードすることは難しい」 (日テレNEWS NNN)
                                                  • TSMC「ハァハァ…2nm半導体作るのきついぃ…」ラピダス「すまん、新規参入して2nm量産するわw」 : アルファルファモザイク@ネットニュースのまとめ

                                                    https://nordot.app/1209378300067578172?c=65699763097731077 政府はラピダスに対し総額9200億円の助成を既に決定。同社は北海道千歳市に工場を建て、25年に試作ラインが稼働する。量産実現には5兆円の資金が必要だと見積もるが、トヨタ自動車やNTTなど民間企業からの出資は計73億円にとどまり、残り4兆円余りのめどが立っていない。

                                                      TSMC「ハァハァ…2nm半導体作るのきついぃ…」ラピダス「すまん、新規参入して2nm量産するわw」 : アルファルファモザイク@ネットニュースのまとめ
                                                    • Nvidiaの株価:モルガン・スタンレー、Hopper/Blackwellの需要は強いと指摘 執筆: Investing.com

                                                      モルガン・スタンレーのアナリストによると、NVIDIA (NVDA) のHopperおよびBlackwell GPUの需要が強いとのことです。 同投資銀行は火曜日の注記で、Nvidiaの Blackwellチップが現在量産段階に入っており、主要顧客からの需要が同社の大きな成長可能性を牽引していると述べました。 モルガン・スタンレーの報告によると、オラクルは最近、131,000個のNvidia (NASDAQ:NVDA) Blackwell GPUを搭載したZettascale AIスーパークラスターを構築する計画を発表し、2.4 ZettaFLOPSのAI性能を実現するとしています。 オラクルのGPU供給増加要請は、Nvidiaの見通しだけでなく、半導体業界のサプライヤーにも好影響を与えています。 「最近のイベントで、オラクルがGPU供給の増加を要請したと報じられており、これはアジアのAI

                                                        Nvidiaの株価:モルガン・スタンレー、Hopper/Blackwellの需要は強いと指摘 執筆: Investing.com
                                                      • 日本株や米国株以外にも目を向けよ…投資初心者はまだ知らない「世界の優良銘柄」3選(桶井 道) @moneygendai

                                                        株式投資といえば、日本株あるいは米国株を選ぶ人が多いだろう。しかし世界に目を向けてみれば、魅力的な銘柄は他にもたくさん眠っている。新刊『資産1.8億円+年間配当金(手取り)240万円を実現! おけいどん式「高配当株・増配株」ぐうたら投資大全』(PHP研究所)を出版した桶井道(おけいどん)氏が、投資初心者も注目の「世界の優良銘柄」を紹介する。 「世界の優良銘柄」に期待できる理由 投資の対象は日本、米国だけではありません。 本社が日米以外であることを理由に敬遠せずに、世界に目を向けてみましょう。実は魅力的な銘柄(企業)が眠っているものです。 しかし、ほとんどの投資家(特に初心者)はその存在を知りません。 なぜなら、主要ネット証券は米国株の取扱いを全面的にアピールし、それ以外の外国株のことはそれほどでもない状態だからです。とはいえ、米国株以外の外国株の取扱い数を徐々に増やしています。その多くが米

                                                          日本株や米国株以外にも目を向けよ…投資初心者はまだ知らない「世界の優良銘柄」3選(桶井 道) @moneygendai
                                                        • Snapdragon 8 Gen 5:クロック速度向上か?最新リーク情報を深掘り - ハオのガジェット工房

                                                          Qualcommが開発中の次世代モバイルSoC、Snapdragon 8 Gen 5に関する新たな噂が浮上しました。今回のリークでは、Snapdragon 8 Gen 5がSnapdragon 8 Gen 4と同じCPU構成を継承しつつ、クロック速度が大幅に向上するという内容が報じられています。 Snapdragon 8 Gen 5のスペックは? CPU: Snapdragon 8 Gen 4と同様の「2+6」構成 クロック速度: パフォーマンスコアが5.0GHz、効率コアが4.0GHzに達する可能性 製造プロセス: TSMCの3nmプロセス(N3P)でテスト中 リーク内容の信憑性について 今回の情報は、複数のリーカーから発信されており、ある程度の信憑性があると考えられます。しかし、過去にも多くの噂が流れており、全てが的中するわけではありません。特に、スマートフォン業界のリークは、製品発表

                                                            Snapdragon 8 Gen 5:クロック速度向上か?最新リーク情報を深掘り - ハオのガジェット工房
                                                          • 少子化の「救世主」TSMC、高給でも人材不足の背景

                                                            コンテンツブロックが有効であることを検知しました。 このサイトを利用するには、コンテンツブロック機能(広告ブロック機能を持つ拡張機能等)を無効にしてページを再読み込みしてください。 ✕

                                                              少子化の「救世主」TSMC、高給でも人材不足の背景
                                                            • 台湾の郭 智輝経済部長が木村知事と意見交換「熊本が台湾のサイエンスパークになる可能性 非常に高い」(TKUテレビ熊本) - Yahoo!ニュース

                                                              日本の経済産業大臣に当たる台湾の郭 智輝 経済部長が熊本県庁を訪れ、木村 知事と意見を交わしました。 意見交換後、郭 経済部長は「交通問題と人材の問題を解決すれば熊本が台湾のようなサイエンスパークになる可能性が非常に高い」と述べました。 木村 知事との意見交換に県庁を訪れたのは、日本の経済産業大臣に当たる台湾の郭 智輝 経済部長です。 木村 知事は8月27日に台湾を訪れた際にも、郭 経済部長と意見交換を行っていて、台湾の半導体製造大手・TSMCの本社がある新竹サイエンスパークも訪問しています。 サイエンスパークとは、台湾政府が40年以上前から進める政策の一つで、新竹サイエンスパークは約1470ヘクタールの広大な敷地に、600社を超える企業が集まり、産学官が連携して技術革新を起こしてきました。 意見交換は非公開で行われ、熊本での半導体関連企業の集積に向けての課題について意見を交わしたというこ

                                                                台湾の郭 智輝経済部長が木村知事と意見交換「熊本が台湾のサイエンスパークになる可能性 非常に高い」(TKUテレビ熊本) - Yahoo!ニュース
                                                              • 本日のニュースオチ

                                                                ▼千葉県の熊谷俊人知事が1日に弔電を送った関東大震災101年朝鮮人犠牲者追悼式が、在日本朝鮮人総連合会(朝鮮総連)が主管する形で行われていたことが20日、分かった。朝鮮総連は政府が破壊活動防止法(破防法)に基づく調査対象団体とし、北朝鮮による日本人拉致事件との関わりも明らかになっている。 競輪場ってすげえところだな。 ▼トランプ前米大統領は19日、再選を目指す11月5日の大統領選で民主党候補のハリス副大統領に敗れた場合、イスラエルは2年以内に消滅する可能性が高いとし、民主党を支持する傾向にあるユダヤ系米国人にその責任の一端があると述べた。 肩書きとか関係ない所で働くと、しょうもないそういうのから解き放たれるので仕事が楽しく感じますよー — CHOCO ∎ (@chocoschools) September 20, 2024 ぼく引越し屋で長期休暇のときにバイトして中古のバイク買いました。

                                                                  本日のニュースオチ
                                                                • 熊本県内バス5社と市電が運賃半額に…利用低迷で赤字続き、客足獲得へ会員パス実証実験(読売新聞オンライン) - Yahoo!ニュース

                                                                  熊本県内のバス事業者5社と市電を運行する熊本市交通局は17日、10月~来年2月に乗車運賃を半額にする実証実験を行うと発表した。5社は共同経営に取り組んでいるが、利用は低迷して赤字が続いており、実験を通じて日常的な客足を獲得する狙いがある。 【写真】熊本市電 5社は熊本市に事業所を置く「九州産交バス」「熊本都市バス」など。これまでに週末や特定日の運賃を無料や100円にする実験を実施しており、今回は長期間で行うことにした。 対象期間は10月1日~来年2月28日。利用者が多い平日午前9時より前の降車、空港リムジンバスなどは対象外とする。利用者は2500円で会員パスと実験用ICカードを購入する必要がある。同県では台湾積体電路製造(TSMC)が進出した菊陽町や近隣などで道路混雑が生じており、渋滞解消につながる効果も期待している。事業費は県の「公共交通利用者増対策支援事業」(1500万円)の一部を活用

                                                                    熊本県内バス5社と市電が運賃半額に…利用低迷で赤字続き、客足獲得へ会員パス実証実験(読売新聞オンライン) - Yahoo!ニュース
                                                                  • A18/A18 ProやAndroid向けSoCの性能向上鈍化〜開発領域はNPUに? - iPhone Mania

                                                                    iPhone16シリーズにはA18、iPhone16 ProシリーズにはA18 Proが搭載されて発表されたが、以前のような盛り上がりが感じられないと台湾メディアDigiTimesが指摘しています。 DigiTimesは、Androidスマートフォン向けシステム・オン・チップ(SoC)も含め、従来のような劇的な性能向上はみられないと述べています。 A18/A18 Proの性能向上について従来よりも保守的な数値? DigiTimesは、iPhone16シリーズおよびiPhone16 Proシリーズの発表イベントにおけるA18やA18 Proがどの程度性能向上したのかの説明で、Appleは保守的な数値を提示したと指摘しています。 また、今回はiPhone16シリーズおよびiPhone16 Proシリーズにおいて冷却能力が改善されたことに焦点があてられていたのも従来との違いでしょう。 当初計画よ

                                                                      A18/A18 ProやAndroid向けSoCの性能向上鈍化〜開発領域はNPUに? - iPhone Mania
                                                                    • 「ビットとアトム」、ネットに偏重する経済への警鐘(Forbes JAPAN) - Yahoo!ニュース

                                                                      私たちは2024年が地政学的リスクの年になると予測していたが、その通りになった。次は選挙の少ない2025年だ。世界は落ち着くのだろうか? 期待しない方がいい。2025年になっても消えることのないのは、人々を隔てる深い信念だ。たとえば、気候変動は差し迫った危機だと多くの人がいう一方で、そうではないという人もいる。もし警鐘を鳴らす側の人間なら、論理的にも道徳的にも、懐疑論者とはかけ離れた政府観や経済観を持っていることになる。この溝は容易に埋められるものではない。 性別、ジェンダー、年齢、人種、アイデンティティ、ナショナリズムと軍国主義、富の分配と正義、言論の自由。これらのカテゴリーのそれぞれに、大きな意見の相違が存在する。インターネットは人々の心を開くどころか、むしろ頑なにしてしまったようだ。 そして、もうひとつの分断がある。これは国家が将来に向けてどのように投資するかを左右する重要な要素だ。

                                                                        「ビットとアトム」、ネットに偏重する経済への警鐘(Forbes JAPAN) - Yahoo!ニュース
                                                                      • 事実上の「GAA第1世代」は2025年? トップ3社のロードマップを読み解く

                                                                        事実上の「GAA第1世代」は2025年? トップ3社のロードマップを読み解く:大原雄介のエレ・組み込みプレイバック(1/5 ページ) Intel、TSMC、Samsung Electronics(Samsung Foundry)というファウンドリートップ3社で、GAA(Gate-All-Around)を採用する半導体製造プロセスのロードマップが出そろった。今回は、各社のロードマップを読み解いてみたい。 2024年6月12日にサンノゼで「Samsung Foundry Forum(SFF) 2024」が開催されたことで、先端プロセスを提供する(予定の)ファウンドリートップ3社(TSMC、Samsung、Intel)の今後のロードマップが出そろう形になった。もちろん、先端プロセスといっても7nm以下は全部先端プロセス扱いというのが昨今の状況ではあるのだが、既に7nm世代から3nm世代(Sams

                                                                          事実上の「GAA第1世代」は2025年? トップ3社のロードマップを読み解く
                                                                        • ユニクロ柳井氏の“日本人滅びる”に台湾の政府高官が反応 日本より少子化深刻な台湾は|日テレNEWS NNN

                                                                          「TSMC」が象徴するようにデジタルで存在感を示す台湾。成長戦略をリードする国家発展委員会の劉鏡清委員長が日本テレビの単独インタビューに応じた。日本同様に人口減少が深刻な問題となる台湾。日本ではファーストリテイリングの柳井正会長が、日本テレビのインタビューで発した「(このままでは)日本人は滅びる」という発言が企業経営者らの間で反響を呼び、賛否両論出ているが劉氏の見解は… ――ファーストリテイリングの柳井会長が、人口減少で人手不足が進む中、海外から高度人材の受け入れを拡大し、労働生産性を上げていかないと“日本人は滅びる”と発言した。台湾でも少子高齢化を受けて海外からの労働者受け入れを拡大したが、日本の外国人労働者の受け入れや働き方をどう思うか? 台湾の方が日本よりもっと深刻だと思う。具体的には、2070年までに65歳以上の人口が249万人増えて、16歳から64歳の人口が972万人減るとみられ

                                                                            ユニクロ柳井氏の“日本人滅びる”に台湾の政府高官が反応 日本より少子化深刻な台湾は|日テレNEWS NNN
                                                                          • A19 ProやM5を製造と噂も〜TSMCの2nmプロセスが来年春に量産試作開始 - iPhone Mania

                                                                            2025年第2四半期(4月〜6月)に量産試作を始めるべく製造ラインの整備が進められているTSMCの2nmプロセスについて、Appleが最初の顧客になると自由時報が報じました。 Appleは、TSMCの3nmプロセスでも最初の顧客となっていました。 2nmプロセスでの量産試作を2025年4月以降開始 自由時報によれば、TSMCの2nmプロセス製造ラインの設置工事は、同社の高雄工場において2024年12月から開始されるとのことです。 その後、工事が順調に進めば2025年第2四半期(4月〜6月)に2nmプロセスでの量産試作が開始される見通しです。 2nmプロセスでの製造規模としてはじめに、高雄第1工場の製造ラインはウエハー換算で月産2万枚を目標としており、2025年後半には高雄第2工場の製造ラインも追加し、そちらでもウエハー換算で月産2万枚を製造することを目標とされています。 TSMCの2nmプ

                                                                              A19 ProやM5を製造と噂も〜TSMCの2nmプロセスが来年春に量産試作開始 - iPhone Mania
                                                                            • A18 ProはA17 Proと比べて13%高速化も消費電力増加〜GFXBench - iPhone Mania

                                                                              iPhone16 Proシリーズに搭載されたA18 Proで、GFXBenchのAztec Ruinsベンチマークテストを行った結果、スコアはA17 Proよりも13%高速化されているのが確認されたとWccftechが伝えています。 ただし、消費電力も増加していることも報告されています。 A18 ProとA18、A17 Proのベンチマークテスト結果を比較 iPhone16 Proシリーズに搭載されたA18 Proで、GFXBenchのAztec Ruinsベンチマークテストを行った結果、A18 Proのフレームレートは72FPSで、62FPSだったA18より16%、64FPSだったA17 Proより13%高速化されていますが、消費電力も増加しているのが確認されました。 A18 Proの消費電力は11.5Wで、A17 Proの9.72Wから増加 A18 Proの消費電力は11.5Wで、A1

                                                                                A18 ProはA17 Proと比べて13%高速化も消費電力増加〜GFXBench - iPhone Mania
                                                                              • TSMCに決定的な差…インテル「微細化」惨敗、ファウンドリー事業苦渋の分社化 (ニュースイッチ by 日刊工業新聞)

                                                                                米インテルの経営が転換期を迎えた。半導体受託製造(ファウンドリー)事業を分社化し、外部資本の受け入れを可能にした。長らくIDM(垂直統合型)のビジネスモデルで中央演算処理装置(CPU)の“王者”として君臨した同社だが、事実上ファウンドリーでは敗北を宣言し製造領域を切り出す。苦杯をなめさせたのは、先端半導体製造の雄、台湾積体電路製造(TSMC)。インテルの苦渋の決断はファウンドリー事業の難しさがかす...

                                                                                  TSMCに決定的な差…インテル「微細化」惨敗、ファウンドリー事業苦渋の分社化 (ニュースイッチ by 日刊工業新聞)
                                                                                • QualcommがIntelに買収を打診か? 背景にある半導体業界の変化

                                                                                  QualcommがIntelに買収を打診している模様であると米国の経済紙Wall Street Journalが9月20日付で報じて以降、多くのメディアも後追いの形で続々報道している。 QualcommもIntelもこの件について公式には認めていないが、実現すれば半導体業界における過去最大規模の買収となる可能性が高い。 Qualcommの時価総額は1880億ドル、それに対してIntelの時価総額は950億ドルほどで、時価総額の規模で見れば、QualcommがIntelを買収することは不自然ではない。この報道以来、株式市場においては、Intelの株価は上昇したが、リスクを背負うこととなるQualcommの株価は下落した。 ただし、IntelがQualcommによる買収提案を受け入れるかどうかは極めて不透明なうえに、仮に両社が合意に達したとしても、各国の規制当局の承認を得るのは難しそうだ。20

                                                                                    QualcommがIntelに買収を打診か? 背景にある半導体業界の変化