並び順

ブックマーク数

期間指定

  • から
  • まで

321 - 360 件 / 6700件

新着順 人気順

CPUの検索結果321 - 360 件 / 6700件

  • AMDの第2世代「EPYC」採用の波にCloudflareが追従、次世代サーバーはIntel製CPUを排除

    AMDのサーバー用CPUである第2世代「EPYC」は、Googleのクラウドサービスに採用されるなど、これまでIntelが支配していたサーバー市場に少しずつ変化をもたらしています。そんな第2世代「EPYC」を、コンテンツデリバリネットワークを提供するCloudflareが自社の次世代サーバーで採用しました。 Cloudflare’s Gen X: Servers for an Accelerated Future https://blog.cloudflare.com/cloudflares-gen-x-servers-for-an-accelerated-future/ Cloudflareのサーバーは非常に高負荷な処理を行うため、CPUがボトルネックになることが頻繁にありました。Cloudflareがブログにつづった内容によると、Cloudflareが持つソフトウェア群はCPUコアを追

      AMDの第2世代「EPYC」採用の波にCloudflareが追従、次世代サーバーはIntel製CPUを排除
    • AMDがサーバー向けCPU市場でもIntelを駆逐しつつある

      2017年には一般のPC向けCPU販売シェアでIntelを抜いたAMDが、サーバー向けCPUの分野でも着々とシェアを伸ばし、Intelを猛追している指摘されています。 Chipzilla or Chipzooky? If Intel's server CPU sales keep on shrinking, El Reg will have to update the branding ? The Register https://www.theregister.co.uk/2020/03/02/intel_sever_cpu_share/ AMD vs. Intel CPU Market Share Q4 2019: EPYC and Desktop CPU Growth Decelerates, Mobile Ryzen Roars | Tom's Hardware https://w

        AMDがサーバー向けCPU市場でもIntelを駆逐しつつある
      • Apple Silicon MacのCPU E-/P-CoreやGPU、ANE、メモリ使用率、消費電力などを確認できるGo言語で書かれたターミナルベースのモニタリングツール「mactop」がリリース。

        Apple Silicon MacのCPU E-/P-Coreや、GPU、消費電力などを確認できるターミナルベースのモニタリングツール「mactop」がリリースされています。詳細は以下から。 Apple Silicon Macでベンチマークや負荷の高いアプリを実行する際、Apple SiliconのCPUやGPU、ANE(Apple Neural Engine)、メモリ使用率、消費電力などを確認したいときがありますが、その用際に便利なターミナルベースのモニタリングツール「mactop」がリリースされています。 mactop is a terminal-based monitoring tool “top” designed to display real-time metrics for Apple Silicon chips. It provides a simple and effic

          Apple Silicon MacのCPU E-/P-CoreやGPU、ANE、メモリ使用率、消費電力などを確認できるGo言語で書かれたターミナルベースのモニタリングツール「mactop」がリリース。
        • 【速報】Microsoft、独自CPU「SQ1」搭載の2in1「Surface Pro X」

            【速報】Microsoft、独自CPU「SQ1」搭載の2in1「Surface Pro X」
          • IntelがRISC-VアーキテクチャのCPUコアを同社のプラットフォームに採用 - iPhone Mania

            RISC-Vアーキテクチャは、ArmやIntelと異なりライセンスフリーのCPUアーキテクチャであり、業界の注目を集めています。そんなRISC-VアーキテクチャのCPUコアを開発しているSiFiveのP550と呼ばれるCPUコアがIntelのプラットフォームに採用されることがわかりました。Intelにとって最初の7ナノメートル(nm)で製造されるチップである可能性もあります。 ArmのCortex-A75を上回る性能のP550 SiFiveが発表したP550と呼ばれるCPUコアは、同社のフラッグシップCPUコアです。 スペックとしては、13ステージのパイプラインステージを持ち、3命令同時発行のアウト・オブ・オーダーマイクロアーキテクチャを採用しています。 SiFiveによれば、このP550はArmのCortex-A75を上回る面積性能比を備えているとのことです。 Intelの7nmプロセス

              IntelがRISC-VアーキテクチャのCPUコアを同社のプラットフォームに採用 - iPhone Mania
            • 西川善司の3DGE:PS5のスペック予想はいくつ当たったか? CPUとGPUは予想どおりだが,最も意外なのはPrimitive Shaderの採用

              西川善司の3DGE:PS5のスペック予想はいくつ当たったか? CPUとGPUは予想どおりだが,最も意外なのはPrimitive Shaderの採用 ライター:西川善司 今回のテーマは,ほぼ1年前に筆者が書いた「無茶を承知で『PS5』の姿を予想してみる」の記事における予想が,ソニー・インタラクティブエンタテインメント(以下,SIE)が現時点までに明らかにしたPlayStation 5(以下,PS5)の仕様と,どれくらい合っていたかを調べる答え合わせである。 筆者は,初期型PlayStation 4(以下,PS4)が発売される1年前にPS4 Proの登場を予想し当てた実績(笑)があるので,2019年4月当時は,かなり気合を入れて予想したものだ。PS5の予想は,どの程度当たっていただろうか。 関連記事 西川善司の3DGE:無茶を承知で「PS5」の姿を予想してみる。CPUは大幅に性能向上するがレイ

                西川善司の3DGE:PS5のスペック予想はいくつ当たったか? CPUとGPUは予想どおりだが,最も意外なのはPrimitive Shaderの採用
              • Kubernetes CPU リクエスト & リミット VS オートスケーリング

                本文の内容は、2023年3月16に JASON UMIKER が投稿したブログ(https://sysdig.com/blog/kubernetes-cpu-requests-limits-autoscaling)を元に日本語に翻訳・再構成した内容となっております。 以前のブログ記事で、Kubernetesのリミットとリクエストの基本について説明しました:これらは、クラウド環境のリソースを管理するために重要な役割を果たします。 このシリーズの別の記事では、クラスターに影響を与える可能性のあるOOMとCPUスロットリングについて説明しました。 しかし、全体として、リミットとリクエストはCPU管理のための銀の弾丸ではなく、他の選択肢の方が良い場合があります。 このブログポストでは、次のことを学びます: CPUリクエストの仕組みCPUリミットの仕組みプログラミング言語別の現在の状況リミットが最適

                  Kubernetes CPU リクエスト & リミット VS オートスケーリング
                • PCテクノロジートレンド 2020 - CPU編

                  新年の幕開けに、パーソナルコンピュータのハードウェア技術の動向を占う「PCテクノロジートレンド」をお届けする。本稿はCPU編として、IntelとAMDの2軸でCPUロードマップを紹介したい。 *** ◆Intel CPU Photo12: 激しく動き回るポン太先生。これが記事に載せられる最後の機会だろうか? (編集注:「PCテクノロジートレンド」では例年、記事中に度々猫が登場します。これは単に猫が好きというだけではなく、著者の助手(?)の猫たちが仕事を手伝う(?)様子を適宜差し込むことで、閑話休題的に話題を区切るという猫大好きな記事構成上の演出です) さて、IntelのCPUについて。Processの所(PCテクノロジートレンド 2020 - プロセス編)でご説明した通り、10nm+(や10nm++)を使ったCPUは、原則としてTDP枠が28W位までの範囲に収まる事になる。要するにこの程度

                    PCテクノロジートレンド 2020 - CPU編
                  • キオクシア「SSD同人誌」の店頭配布が近日スタート、“自作SSD”や“自作CPU”などを紹介

                      キオクシア「SSD同人誌」の店頭配布が近日スタート、“自作SSD”や“自作CPU”などを紹介
                    • 従来のCPUと比べて80倍高効率な超電導マイクロプロセッサを日本の研究グループが開発

                      CPUやGPUといったマイクロプロセッサの性能は年々向上していますが、同時に消費電力も増加する傾向にあります。世界中のデータセンターが消費する電力の合計は、2020年には世界の電力の2%に達しており、2030年までに8%を占めるまで増加すると予測されているため、マイクロプロセッサの省電力化は大きな課題となっています。そんな中、日本の研究チームが、従来のCPUの80倍の電力効率で動作する超電導マイクロプロセッサの開発に成功しました。 Superconducting Microprocessors? Turns Out They're Ultra-Efficient - IEEE Spectrum https://spectrum.ieee.org/tech-talk/computing/hardware/new-superconductor-microprocessor-yields-a-s

                        従来のCPUと比べて80倍高効率な超電導マイクロプロセッサを日本の研究グループが開発
                      • Core i9 10900Kをレビュー:中途半端な完成度の10コアCPU

                        Core i9 10900Kは、第10世代「Comet Lake」の最上位モデルとして登場。スペックは10コア20スレッドで、HEDTクラスのCore i9 10900Xと同等のコア / スレッド数に増量され、ブーストクロックは4.8 ~ 5.3 GHzまで強化されています。 インテルの希望小売価格は「499ドル」のままで、実質的にはCore i9 10900Xやi9 9900Xの値下げバージョンです。しかし、肝心の国内価格は72000円(初値とは言え・・・頂けない価格設定)で、現状のi9 10900Xとほとんど変わらない値段です。 Core i9 10900K(10コア):72000 円Core i9 9900K(8コア):67932 円Ryzen 9 3900X(12コア):66168 円発売後すぐの価格は以上のとおり。単純なコア数など、スペックだけで比較するとRyzen 9 3900

                          Core i9 10900Kをレビュー:中途半端な完成度の10コアCPU
                        • ARMベースCPUを搭載した「Surface Pro X」がデビュー、OSはWindows 10 Homeで国内LTE対応32bit(x86)アプリが動作

                            ARMベースCPUを搭載した「Surface Pro X」がデビュー、OSはWindows 10 Homeで国内LTE対応32bit(x86)アプリが動作
                          • CPU温度を最大22℃下げたRaspberry Pi 4専用ケース「いちご缶VESA for Pi4」 - エルミタージュ秋葉原

                            高性能化が進むRaspberry Pi 4に合わせ、4種類(CPU/LAN・USB・メモリ/電源回路/Wi-Fiチップ)のサーマルパッドが付属。筐体に装着されたアルミ製ヒートプレートに熱伝導させ、筐体全体に熱を拡散。放熱面積を広げて散熱させる仕組みだ。なおエム・コーポレーションのテストによると、CPU温度はケース無し状態の81℃から「いちご缶VESA for Pi4」組み込み状態で59.0℃を計測。温度差22℃の高性能がアピールされている。 筐体素材は0.8mm厚アルミニウムで、外形寸法は幅60mm、奥行き91mm、高さ28mm、重量は54g。VESAマウンタ(75x75mm)および取り付けネジが付属。製品保証は1年間。 文: エルミタージュ秋葉原編集部 松枝 清顕 株式会社エム・コーポレーション: https://emu-corp.com/

                              CPU温度を最大22℃下げたRaspberry Pi 4専用ケース「いちご缶VESA for Pi4」 - エルミタージュ秋葉原
                            • 数年前のCPUはダメなのに…Windows 11、Pentium 4マシンへのインストールが可能と判明【やじうまWatch】

                                数年前のCPUはダメなのに…Windows 11、Pentium 4マシンへのインストールが可能と判明【やじうまWatch】
                              • 「富岳」と同じCPU スパコン「不老」運用開始 名古屋大 | NHKニュース

                                世界ランキングの4部門で1位となった「富岳」と同じ処理装置を持つスーパーコンピューター、「不老」の運用が名古屋大学で始まり、新型コロナウイルスの新薬の開発や、異常気象の解析などへの活用が期待されています。 1日から運用が始まったのは、名古屋大学のスーパーコンピューター、「不老」で、公募で選ばれた名前は名古屋大学のある千種区不老町の地名とコンピューター用語で「流れ」を意味する「フロー」がもとになっています。 「不老」は、スーパーコンピューターの世界ランキングで4部門で世界一となった「富岳」と同じCPU=中央演算処理装置を搭載し、「富岳」にはないAI=人工知能の研究を支援するシステムや、100年間保存ができる記録媒体などの機能を備えているということです。 このほか、シミュレーションを行った際に動画などを大画面に映し出す設備も備えています。 名古屋大学では、今後、「不老」を新型コロナウイルスの新

                                  「富岳」と同じCPU スパコン「不老」運用開始 名古屋大 | NHKニュース
                                • 【山口真弘の電子書籍タッチアンドトライ】 CPUが強化された34,800円の第8世代「iPad」の使用感をチェック

                                    【山口真弘の電子書籍タッチアンドトライ】 CPUが強化された34,800円の第8世代「iPad」の使用感をチェック
                                  • 「Web会議は参加人数が多いほどPCの負担が大きいのは本当か? ツールごとに通信量やCPU/GPU負荷を調べてみた」【急遽テレワーク導入!の顛末記】

                                      「Web会議は参加人数が多いほどPCの負担が大きいのは本当か? ツールごとに通信量やCPU/GPU負荷を調べてみた」【急遽テレワーク導入!の顛末記】
                                    • ネコの走るスピードでCPU負荷を知らせるWindows版「RunCat」がメジャーバージョンアップ/「.NET 6」ベースとなりARM64ビルドが追加

                                        ネコの走るスピードでCPU負荷を知らせるWindows版「RunCat」がメジャーバージョンアップ/「.NET 6」ベースとなりARM64ビルドが追加
                                      • Intel、第11世代までのCPUに影響する脆弱性などに対処

                                          Intel、第11世代までのCPUに影響する脆弱性などに対処
                                        • VHDL 100行で8bit CPUを設計してGHDLでエミュレーションする - Qiita

                                          昔書いたにっき( https://mjt.hatenadiary.com/entry/20110125/p2 )で取り上げたMCPU( https://github.com/cpldcpu/MCPU/ )を拡張し、それなりの実用性を確保したい話。 作成したものはGitHubに置いてある https://github.com/okuoku/nanocpu 。 できたCPU 出来たCPU の諸元を簡単に要約すると、 64マクロセルCPLDに収まるギリギリのデザイン 割り込み機能なし ALU命令は 4命令のみ (ADD / NOR / Store / Jump if Clear Carry + carry clear) 8bit データ、ALU 14bit アドレスバス (8bitセグメント、6bit直接アドレス) 非同期SRAMメモリインターフェース を持つ。このCPUは64マクロセルのXil

                                            VHDL 100行で8bit CPUを設計してGHDLでエミュレーションする - Qiita
                                          • 「Windows 11でAMD製CPUのパフォーマンスが低下する問題」が更新プログラムでさらに悪化

                                            Windows 11ではAMD製CPUのパフォーマンスが低下する問題が報告されており、MicrosoftとAMDはソフトウェアアップデートで問題を修正すると発表していました。しかし、Windows 11初のアップデートが配信されたところ、「パフォーマンスがさらに悪化した」と報告されています。 First Windows 11 Patch Tuesday Makes Ryzen L3 Cache Latency Worse, AMD Puts Out Fix Dates | TechPowerUp https://www.techpowerup.com/287786/first-windows-11-patch-tuesday-makes-ryzen-l3-cache-latency-worse-amd-puts-out-fix-dates Windows 11’s first update

                                              「Windows 11でAMD製CPUのパフォーマンスが低下する問題」が更新プログラムでさらに悪化
                                            • Appleシリコン対Intel CPU〜コスト、性能で大きな差 - iPhone Mania

                                              Appleが6月の世界開発者会議(WWDC 2020)で発表したAppleシリコンのコストは100ドル未満で、台湾TSMCの5nmプロセス技術を用いて生産されると、調査会社TrendForceが伝えています。 Appleシリコンの量産開始は2021年第1四半期 TrendForceの調べによると、TSMCの工場の生産能力は、2020年中は主にiPhoneとiPad向けチップ製造に充てられます。現在は、秋に発売されるiPhone12シリーズ向けのA14 Bionicシステムオンチップ(SoC)の量産がスタートしているうえに、2020年第3四半期(7月〜9月)には、2021年発売見込みの新型iPad向けのA14X Bionic SoCの少量生産が始まるからです。 そのため、Mac向けSoC、すなわちAppleシリコンの量産が始まるのは2021年第1四半期(1月〜3月)で、搭載機が市場に多く出回

                                                Appleシリコン対Intel CPU〜コスト、性能で大きな差 - iPhone Mania
                                              • マインクラフト内にRISC型CPUを構築——バーチャル世界にテトリスなどのゲームを作れる|fabcross

                                                マインクラフトのプレイヤーSammyuri氏が2021年11月14日、自身のYouTubeチャンネルにて、マインクラフト内に7カ月がかりで構築したRISC型CPUについての説明動画を公開した。 このCPUの名称は、「Computation Humongous Unconventional Number and Graphics Unit by Sammyuri 2(Chungus 2)」。16ビットRISC型CPUで、4段の命令パイプライン(フェッチ、デコード、実行、格納)があり、クロック周波数が1Hz、RAMが256バイト、命令キャッシュが128バイトとなる。その他、レジスタや命令セットなどの仕様も動画内で説明されており、プレイヤーはChungus 2を使ってプログラムを実行できる。 外部メモリも活用でき、Atari 2600と同等の4 KiB(キビバイト)にまで対応。複雑なプログラムの

                                                  マインクラフト内にRISC型CPUを構築——バーチャル世界にテトリスなどのゲームを作れる|fabcross
                                                • Dockerの「マルチCPUアーキテクチャ」に対応したイメージをビルドする | DevelopersIO

                                                  みなさん、こんにちは! AWS事業本部の青柳@福岡オフィスです。 前回、前々回と、ARMアーキテクチャの「Graviton2」と Docker のネタをお送りしましたが、今回は第3弾をお届けします。 前回のブログ記事 の中で、Docker Hubの「マルチCPUアーキテクチャサポート」について紹介しました。 前回は 「マルチCPUアーキテクチャ」に対応しているDocker Hubの公式イメージをプルして利用する という流れでした。 今回は一歩進んで 「マルチCPUアーキテクチャ」に対応するDockerイメージを自分でビルドしてDocker Hub上に公開する という一連の作業を試してみたいと思います。 「マルチCPUアーキテクチャサポート」とは? Docker Hubにおける「マルチCPUアーキテクチャサポート」とは、x86 (AMD64) やARM64など複数のアーキテクチャ向けのイメー

                                                    Dockerの「マルチCPUアーキテクチャ」に対応したイメージをビルドする | DevelopersIO
                                                  • AMDのサーバー向けCPU「EPYC」がLet’s Encryptのデータベースサーバーに採用される

                                                    無料のSSL証明書を発行するLet’s Encryptが、データベースサーバーにAMDのサーバー向けCPU「EPYC」を採用したことを明らかにしました。Googleのクラウドサービスやコンテンツデリバリネットワークを提供するCloudflareに追従する形であり、サーバー業界へのAMDの進出が加速しています。 The Next Gen Database Servers Powering Let's Encrypt - Let's Encrypt - Free SSL/TLS Certificates https://letsencrypt.org/2021/01/21/next-gen-database-servers.html Let’s Encryptは安全なインターネット通信に不可欠なSSL証明書を無料で発行している認証局です。2億3000万以上のウェブサイトがLet’s Encry

                                                      AMDのサーバー向けCPU「EPYC」がLet’s Encryptのデータベースサーバーに採用される
                                                    • AMD、次期モバイルCPUに新モデルナンバー導入

                                                        AMD、次期モバイルCPUに新モデルナンバー導入
                                                      • Intel製CPUに「消費電力の監視データ」から暗号化キーを解読される脆弱性が判明

                                                        Intel製CPUにコアの消費電力から暗号化されたデータの解読などを行うサイドチャネル攻撃を許す脆弱性「PLATYPUS」が判明しました。一般的なサイドチャネル攻撃のようにオシロスコープを使った消費電力の物理的な測定は必要なく、OSから利用できる「消費電力モニター機能」のみで攻撃が可能だと報告されています。 PLATYPUS: With Great Power comes Great Leakage https://platypusattack.com/ INTEL-SA-00389 https://www.intel.com/content/www/us/en/security-center/advisory/intel-sa-00389.html Intel SGX defeated yet again—this time thanks to on-chip power meter |

                                                          Intel製CPUに「消費電力の監視データ」から暗号化キーを解読される脆弱性が判明
                                                        • CPU史上最悪の凋落の中、AMDのシェア3割迫る

                                                            CPU史上最悪の凋落の中、AMDのシェア3割迫る
                                                          • 「エヌビディアもアームも不要」、CPU設計の大御所がAI専用機を開発中

                                                            「我々はオープン技術だけで、AI(人工知能)計算に最適のAIコンピューターを設計・開発していく。4社抜きで可能だ」。 カナダのスタートアップ、テンストレントのジム・ケラーCEO(最高経営責任者)は断言する。4社とは米国のエヌビディア、インテル、アドバンスト・マイクロ・デバイセズ(AMD)、英アームを指す。GPU(画像処理半導体)世界シェアの80%をエヌビディアが占めるなど、誰もが皆、4社の製品を何らかの形で使っている。4社不要とは大胆だが、ケラーCEOは「逆転の流れを(私も業界も)経験ずみ」と力強く語った。 半導体の猛者が続々集まる ケラーCEOはプロセッサー設計の大御所と呼ばれる。米ディジタル・イクイップメント(当時)の高性能プロセッサーAlphaを設計したのを皮切りに、AMD、米アップル、米テスラ(自動運転向けチップ)、インテルなどで、プロセッサー設計に従事し、手腕を発揮してきた。 テ

                                                              「エヌビディアもアームも不要」、CPU設計の大御所がAI専用機を開発中
                                                            • 【後藤弘茂のWeekly海外ニュース】 AMDやIBM、Armが「Hot Chips 31」でCPUアーキテクチャを公開

                                                                【後藤弘茂のWeekly海外ニュース】 AMDやIBM、Armが「Hot Chips 31」でCPUアーキテクチャを公開
                                                              • 【アップグレード後の性能が知りたい!】 GTX 1060をRTX 3060に換装。CPUは5年前のi5-8600KでもAAA級ゲームが快適に!?

                                                                  【アップグレード後の性能が知りたい!】 GTX 1060をRTX 3060に換装。CPUは5年前のi5-8600KでもAAA級ゲームが快適に!?
                                                                • 続・AWS ECS Fargate のCPU性能と特徴 2023年版 | 外道父の匠

                                                                  2年半近く前に書いた AWS ECS Fargate のCPU性能と特徴 | 外道父の匠 の続編になります。 そんなに楽しくはないけど、知っておいて損はない、くらいの調査と考察になります:-) 前口上 ちょうど1年前に FARGATE のリソース天井が上がりました。今回は、ほぼそれを区切りにした結果になっていると思います。 AWS Fargate でコンピューティングとメモリのリソース構成が 4 倍に増加 vCPU 条件と Availability Zone を変え、3タスクずつ起動し、出現したCPUモデルをメモっていきました。ついでに軽量ベンチマークとして前回同様 OpenSSL speed をカマしておきました。 関係ないけどコンテナイメージは amazonlinux:2 です。では結果をどうぞ。 出現CPUモデル CPUアーキテクチャを選べるので、それぞれについて。 ECS Farg

                                                                    続・AWS ECS Fargate のCPU性能と特徴 2023年版 | 外道父の匠
                                                                  • GitHub - remeh/diago: Diago is a visualization tool for CPU profiles and heap snapshots generated with `pprof`.

                                                                    You signed in with another tab or window. Reload to refresh your session. You signed out in another tab or window. Reload to refresh your session. You switched accounts on another tab or window. Reload to refresh your session. Dismiss alert

                                                                      GitHub - remeh/diago: Diago is a visualization tool for CPU profiles and heap snapshots generated with `pprof`.
                                                                    • 謎のAMD製サーバー用CPU「EPYC 7C13」を買ったらコスパ良好な掘り出し物だったとのレポート

                                                                      AMDの「AMD EPYC 7C13」は、価格が2000ドル(約30万円)前後とサーバー向けCPUとしては安価なのにもかかわらず、負荷テストで優れた結果を出したと、テクノロジー系メディアのServe The Homeが報じています。 AMD EPYC 7C13 is a Surprisingly Cheap and Good CPU https://www.servethehome.com/amd-epyc-7c13-is-a-surprisingly-cheap-and-good-cpu/ Serve The Homeは、通販サイト・Neweggでそれまで見たことがなかった「AMD EPYC 7C13」というCPUを搭載したサーバーを発見し、さっそく数台購入してその性能のテストしてみました。 lscpuコマンドを用いてCPUの情報を表示すると、AMD EPYC 7C13は64コアと256

                                                                        謎のAMD製サーバー用CPU「EPYC 7C13」を買ったらコスパ良好な掘り出し物だったとのレポート
                                                                      • How To Make A CPU - A Simple Picture Based Explanation

                                                                        2021-11-09 - By Robert Elder The purpose of this article is to explain how to make a CPU out of rocks and sand as fast as possible without using too many words.  As the world of proprietary hardware and software crushes in around us, we risk losing the ability to make things for ourselves as individuals.  It is for this reason that I felt compelled to learn how one could hypothetically make their

                                                                        • 【レビュー】 M1 Max搭載16インチMacBook Proはどれだけ速いのか?Intel CPUだけでなくGeForceも上回る高性能を発揮

                                                                            【レビュー】 M1 Max搭載16インチMacBook Proはどれだけ速いのか?Intel CPUだけでなくGeForceも上回る高性能を発揮
                                                                          • 『リンカー moldをいろんなターゲットに移植した話』を視聴してCPUやpsABIの世界を覗き見してみた #kernelvm

                                                                            Kernel/VM探検隊online part6 で Rui Ueyama 氏による『リンカー moldをいろんなターゲットに移植した話』のセッションを視聴し、普段は接点のないCPUやpsABIといった低レイヤーの世界を覗き見したので、メモを残しておきます。 動画 発表スライド Ruiさんは、LLVMのリンカlldの作者でもあり、過去には同勉強会のPart 2でもリンカについて発表されています。 超高速リンカmold 1/2 - ログミーTech 超高速リンカmold 2/2 - ログミーTech 今回も、前日に発表が決まったとはとても思えない、非常に濃い発表でした。 本テーマは筆者の普段の業務と大きく異なります。間違いなどがあればコメントで指摘していただけると助かります。 以下の様なキーワードが頻出します。 リンカ psABI ELF QEMU ISA RISC/CISC エンディアン

                                                                              『リンカー moldをいろんなターゲットに移植した話』を視聴してCPUやpsABIの世界を覗き見してみた #kernelvm
                                                                            • 2019 年度 CPU 実験 余興: Linux が動く RISC-V CPUを作る

                                                                              2019 年度 CPU 実験の最終発表会で使用したスライドです。 詳しくは https://diary.shift-js.info/building-a-riscv-CPU-for-linux をご覧ください。

                                                                                2019 年度 CPU 実験 余興: Linux が動く RISC-V CPUを作る
                                                                              • 【西川和久の不定期コラム】 GPUは映れば何でもOK、ただしCPUは爆速に限る!夏休みの工作でCore i9-12900搭載ミニPCを組む【前編】

                                                                                  【西川和久の不定期コラム】 GPUは映れば何でもOK、ただしCPUは爆速に限る!夏休みの工作でCore i9-12900搭載ミニPCを組む【前編】
                                                                                • CPU・GPU・TPUをワンチップで担う「Tachyum Prodigy」のCPUスペックが明らかに、最大クロック周波数5.7GHz・128コア・TDP 950Wのモンスタースペック

                                                                                  半導体企業のTachyumが、CPU・GPU・TPUの機能を単一のアーキテクチャに統合したチップ「Prodigy」を発表しました。ProdigyのCPUスペックは最大128コア、動作周波数は5.7GHz、16チャネルDDR5メモリ、TDPは950Wとなっており、テクノロジーメディアのWccftechは「非常識なスペック」と評しています。 Tachyum's Prodigy CPU Specs Unveiled: 5nm Universal Processors With Up To 128 Cores, 5.7 GHz Clocks, 16-Channel DDR5-7200 Memory Support & 950W TDP https://wccftech.com/tachyums-prodigy-cpu-specs-unveiled-5nm-universal-processors-

                                                                                    CPU・GPU・TPUをワンチップで担う「Tachyum Prodigy」のCPUスペックが明らかに、最大クロック周波数5.7GHz・128コア・TDP 950Wのモンスタースペック