並び順

ブックマーク数

期間指定

  • から
  • まで

81 - 120 件 / 3543件

新着順 人気順

FPGAの検索結果81 - 120 件 / 3543件

  • 深層学習のセキュリティ課題と論文まとめ - Qiita

    最終更新 2021/11/24 本質的な内容は変化していないものの,最新の研究動向に対して本記事で取り上げた論文はかなり古くなっているので注意してください. 本記事より良くまとめられているオープンアクセスの日本語文献があるので,そちらを参照するほうがよいと思います. 深層学習技術のセキュリティ課題についてはこちら 森川 郁也(富士通株式会社), "機械学習セキュリティ研究のフロンティア" 電子情報通信学会 基礎・境界ソサイエティ Fundamentals Review, Vol.15 No.1, 2021 https://www.jstage.jst.go.jp/article/essfr/15/1/15_37/_article/-char/ja 深層学習技術のハードウェアセキュリティ課題についてはこちら 吉田 康太, 藤野 毅(立命館大学), "エッジAIデバイスのハードウェアセキュリテ

      深層学習のセキュリティ課題と論文まとめ - Qiita
    • JetsonにEdge TPUにM5StickV で、エッジAI用やるには何を選べばいいの? - masato-ka's diary

      1. 概要 追記 公開当初Jetson Nanoの性能表記に誤記があったため修正しています。 最近組み込みデバイス(以下エッジと表現)で画像認識や音声認識、センサ情報の処理といったディープラーニングを利用した処理を実行することが容易になっている。低消費電力で、高速にディープラーニングを処理するためのエッジAI用アクセラレータが各社から発売されていることがその理由の一つだろう。 こういった、エッジAI用のアクセラレータは各社によってその使用や対応フレームワーク、利用できるディープラーニングのネットワーク構成に違いがある。どれも同じように利用できるわけではない。自分でエッジAI用アクセラレータを利用しようとしたときにいくつか調べてみた内容をメモがわりに残してみる。ちなみに個人で遊べるものを中心にしてるので、産業的にどうなのかは知らない、悪しからず。。。 あとこのブログではAndroid Thi

        JetsonにEdge TPUにM5StickV で、エッジAI用やるには何を選べばいいの? - masato-ka's diary
      • 半導体設計について何も知らない素人が1ヶ月で8bitCPUをテープアウトした話 - 抹茶うまい

        この記事は HDL Advent Calendar 2021 25日目の記事です。 すいません、11日ほど遅れての投稿です。 この記事では、以下のツイートに至るまでの裏話について話させていただきます。 HDLに関係があるかというとかなーり微妙ですが、許していただきたいです🙏 Our first tapeout project of 8bit CPU with @Cra2yPierr0t has just finished. We still don't have enough knowledge about making LSI ...https://t.co/J99bRlMTY3#mpw3 #efabless #openmpw pic.twitter.com/6MmGvlDMCZ— Δyuk! (@heppoko_yuki) 2021年11月12日 遂に@heppoko_yuki と共

          半導体設計について何も知らない素人が1ヶ月で8bitCPUをテープアウトした話 - 抹茶うまい
        • AMDがXilinxを買収か? 複数の米国メディアが報道

          AMDがFPGA大手Xilinxの買収に向けて協議を進めていると、Wall Street Journal(WSJ)をはじめとする複数の米国メディアが報じている。 これらの報道によると、買収額は300億ドルを超す見込みだとのことで、10月12日週にも両社の間で合意が取れ、正式発表される可能性があるという。ただし、かつて両社は買収交渉を行ったものの行き詰まりを迎え、最近になってようやく協議を再開したといういきさつがあり、この話自体が破談になる可能性もあるという。 ちなみにAMDの競合であるIntelは2015年、Xilinxの競合でFPGA業界2位のAlteraを買収。FPGAをいち早く自社ポートフォリオに組み入れている。一方のAMDは、その間、Intelがプロセスの微細化で躓いているのをしり目にTSMCの先端プロセスを活用することでCPU市場での存在感を増してきており、今回のXilinx買収

            AMDがXilinxを買収か? 複数の米国メディアが報道
          • 西 和彦氏,「次世代MSX」の進捗状況らしき映像とコメントを公式Twitterアカウントで公開

            西 和彦氏,「次世代MSX」の進捗状況らしき映像とコメントを公式Twitterアカウントで公開 編集部:松本隆一 西 和彦氏が進める,「次世代MSX」の進捗状況らしき映像とコメントが同氏の公式Twitterアカウントで公開され,一部で話題を集めている。 msx3 IOT カートリッジをmsxに挿して使います Wifi付き pic.twitter.com/AUzTGT2DtB— 西 和彦 (@nishikazuhiko) January 13, 2022 MSX3 第2弾 MSX IOTカートリッジです これでmsx1 msx2がMSX3になります Grove コネクター付き pic.twitter.com/R7ZusLhNCM— 西 和彦 (@nishikazuhiko) January 13, 2022 2021年8月30日に掲載した記事でお伝えしたように,アスキー時代に各社共通規格のホ

            • 自作CPUのリグレッションテストをGitHub Actionsで行うための手法の調査 - FPGA開発日記

              自作CPUのリグレッションテストやCIをGitHub上で行いたくて、いくつか手法を調査している。 1つの手法としては、Dockerfileを使ってリグレッション環境を構築する。Dockerイメージ上にRISC-VツールチェインとVerilatorをインストールしておく。これをDockerHubに登録しておいた。 以下がDockefileの一部。VerilatorとRISC-Vツールチェインをインストールしている。 FROM ubuntu:22.04 RUN apt update ## ... 途中省略 ... # ------------------ # Install Verilator # ------------------ RUN curl -L https://github.com/verilator/verilator/archive/refs/tags/v4.228.tar.

                自作CPUのリグレッションテストをGitHub Actionsで行うための手法の調査 - FPGA開発日記
              • GitHub - huachaohuang/awesome-dbdev: Awesome materials about database development.

                The Five-Minute Rule for Trading Memory for Disc Accesses (1987) The Five-Minute Rule 10 Years Later, and Other Computer Storage Rules of Thumb (1997) The Five-Minute Rule 20 Years Later, and How Flash Memory Changes the Rules (2007) The Five-Minute Rule 30 Years Later, and its Impact on the Storage Hierarchy (2017) The Design and Implementation of a Log-Structured File System (1991) This paper pr

                  GitHub - huachaohuang/awesome-dbdev: Awesome materials about database development.
                • 検出不可能なゲームのチートが発表、今後のオンラインゲームのデザインはこのチートを前提に設計しなければならない

                  検出不可能なゲームのチートが発表、今後のオンラインゲームのデザインはこのチートを前提に設計しなければならない PCILeechというソフトウェアスタックがある。 ufrisk/pcileech: Direct Memory Access (DMA) Attack Software これはPICeデバイスを使ってターゲットコンピューターのメモリをDMAで読み取るためのPCIeデバイスのFPGAの実装とその操作のための一連のソフトウェア群だ。 原理は簡単だ。ターゲットコンピューターのPCIeスロットにつないだPCIeデバイスからDMAをするだけ。これによりターゲットコンピューターのメモリ領域を読み取ることができる上、ターゲットコンピューターからは検出不可能だ。なぜならばDMAはCPUを介さずに行われる上、ターゲットコンピューターにカーネルスペース/ユーザースペースともに追加のコード実行を必要と

                  • ルネサスはなぜFPGAに参入したのか? その真意をキーマンに聞く

                    ルネサス エレクトロニクスがFPGAに参入することを2021年11月に表明した。FPGAというと、XilinxとIntel(旧Altera)が市場を二分する存在であり、圧倒的な存在感を有している。なぜ、ルネサスがそんなFPGA市場に参入することを決めたのか。キーマンである同社IoT・インフラ事業統括本部 グローバル営業統括部 ヴァイスプレジデントの迫間幸介氏に、参入の真意を聞いた。 ルネサス エレクトロニクス IoT・インフラ事業統括本部 グローバル営業統括部 ヴァイスプレジデントの迫間幸介氏 Dialogが手掛けてきたGreenPAK もともとルネサスが提供しようとしている「ForgeFPGA」という製品は、同社が2021年8月末に買収を完了したDialog Semiconductorが開発を進めていたものとなる。Dialogは、従来よりディスクリートアナログやアナログICの機能をカスタ

                      ルネサスはなぜFPGAに参入したのか? その真意をキーマンに聞く
                    • Pocket - Analogue

                      Meet Analogue Pocket. A multi-video-game-system portable handheld. A digital audio workstation with a built-in synthesizer and sequencer. A tribute to portable gaming. Out of the box, Pocket is compatible with the 2,780+ Game Boy, Game Boy Color & Game Boy Advance game cartridge library. Pocket works with cartridge adapters for other handheld systems, too. Like Game Gear. Neo Geo Pocket Color. Ata

                        Pocket - Analogue
                      • 【セッションレポート】Amazon Aurora Limitless Database 内部アーキテクチャ詳解 〜 スケーラビリティと高可用性の秘密 〜(AWS-40) #AWSSummit | DevelopersIO

                        【セッションレポート】Amazon Aurora Limitless Database 内部アーキテクチャ詳解 〜 スケーラビリティと高可用性の秘密 〜(AWS-40) #AWSSummit Amazon Aurora というマネージドデータベースサービスの裏側にかなりに深く踏み込んで解説されます。DB プロフェッショナルの熱量を強く感じる、激アツセッションでした。 コーヒーが好きな emi です。 本記事は 2024 年 6 月 20 - 21 日の 2 日間開催された AWS Summit Japan 2024 のセッションレポートとなります。 オンデマンド配信の動画リンクと資料のダウンロードは以下です。 動画の視聴と資料のダウンロードには AWS Summit Japan のマイページのログインが必要です。 オンデマンド配信リンク - Amazon Aurora Limitless

                          【セッションレポート】Amazon Aurora Limitless Database 内部アーキテクチャ詳解 〜 スケーラビリティと高可用性の秘密 〜(AWS-40) #AWSSummit | DevelopersIO
                        • 待った甲斐があった!「アナログ・ポケット」は最高の一言

                          待った甲斐があった!「アナログ・ポケット」は最高の一言2021.12.20 12:00175,641 Andrew Liszewski - Gizmodo US [原文] ( そうこ ) このレトロ感が逆に新しい! 最近はガジェットもファッションもレトロ復活ブームですが、若い世代にとっては「復活」ではなく、初めてみる新しく新鮮なモノなんです。なのでおじさんおばさんがしたり顔で「懐かしいねぇ。これ昔はさぁ」なんて語るのは野暮なんでしょうね。…野暮でもいいから、言わせて! この画面の色味なっっっっつかしい! 米Analogue社が開発するゲーム機「Analogue Pocket(アナログ・ポケット)」は、ゲームボーイなどかつて一世を風靡したゲーム機と互換性をもつ、レトロゲーマーには夢のような端末です。全世代のゲームボーイカセットを実際に挿して遊べるのが胸熱。発表されてからずいぶんと時間がたって

                            待った甲斐があった!「アナログ・ポケット」は最高の一言
                          • IBMがPOWERプロセッサの命令セットをオープンに。OpenPOWER Foundationへ寄贈

                            IBMは同社が開発したPOWERプロセッサの命令セット(POWER Instruction Set Architecture:ISA)をOpenPOWER Foundaionへ寄贈し、誰でも無償で利用できるオープンな仕様にすることを発表しました(IBMの発表。OpenPOWER Foundationの発表) POWER ISAだけでなく、プロセッサとメモリのあいだのデータ転送を効率的に行うための仕様であるOpen Coherent Accelerator Processor Interface(OpenCAPI)およびOpen Memory Interface(OMI)もあわせてオープンになりました。 "We're taking the POWER ISA, licensing it to the #OpenPOWER Foundation so anyone can implement

                              IBMがPOWERプロセッサの命令セットをオープンに。OpenPOWER Foundationへ寄贈
                            • RISC-Vのハイパーバイザー拡張の仕様書を(ほぼ)日本語化したので公開する - FPGA開発日記

                              RISC-Vのハイパーバイザー拡張の仕様がかなり固まってきた。現在は0.6.1が公開されている。 Hypervisor Extension, Version 0.6.1 github.com とりあえず、上記の資料を読みながらちまちまと日本語化してみた。これは別に営利目的などではなく、完全に自分の趣味で理解のために翻訳してみたかったところがある。しかし翻訳しながら「なんじゃこりゃ?」な部分はとりあえず飛ばして先に進んだりしたので、すべて理解をしているかというとそれは違う。また復習しないと。 とりあえずSpikeの実装とKVMのRISC-V移植版を勉強しながら、実際の実装を学んでいくようにしていきたい。 RISC-V ハイパーバイザー拡張 日本語版 msyksphinz-self.github.io とりえあず、Google翻訳には頼らず、99%は自力で翻訳したが、おかげてTypoやら、誤訳

                                RISC-Vのハイパーバイザー拡張の仕様書を(ほぼ)日本語化したので公開する - FPGA開発日記
                              • オレオレASICとオレオレCPUで作る「オレたちのSoC」 CPU脳をたたき直す“自由ASIC時代”の到来

                                Kernel/VM探検隊は、カーネルやVM、およびその他なんでもIT技術の話題ジャンルについて誰でも何でも発表してワイワイ盛り上がろうという会です。takeoka氏は、現在開発中のSoC(System-on-a-chip)について発表しました。 LSI(Large Scale Integration)の民主化が進んでいる takeoka氏(以下、takeoka):俺ASICと俺CPUを作っているのでその話をちょっとします。 最近、日本でも半導体復興と言われています。(半導体は)お金持ちの物だと思っていたけれど、近頃はGoogleが安く作れるようにしてくれていたり、OpenEDAやOpenLANEというOSSのツールを使えば作れます。 これはだいぶ前からですが、Googleががんばっています。ほかにも、FOSSi(Free and Open Source Silicon Foundation

                                  オレオレASICとオレオレCPUで作る「オレたちのSoC」 CPU脳をたたき直す“自由ASIC時代”の到来
                                • 「どうせ廃棄するLinuxマシンだから」と最後に rm -rf したらネットワーク経由で別のWindowsマシンのHDDをマウントしていたという怪談

                                  なひたふ(内藤竜治) @nahitafu どうせ廃棄するLinuxマシンだから最後に rm -rf した。妙に時間がかかっているな・・・と思ったらネットワーク経由で別のWindowsマシンのHDDをマウントしていた。 twitter.com/SES48740815/st… 2023-07-11 17:21:34 なひたふ(内藤竜治) @nahitafu 特殊電子回路㈱代表。技術士(電気電子)。第6回事業再構築補助金。2003年度未踏ソフトのスパクリ。MITOUJTAG(みとうジェイタグ)というJTAGバウンダリスキャン・ソフトを開発。高速ADC×FPGAで物理計測向けの回路設計を生業にしています。FREE TIBET。天滅共匪 nahitafu.cocolog-nifty.com

                                    「どうせ廃棄するLinuxマシンだから」と最後に rm -rf したらネットワーク経由で別のWindowsマシンのHDDをマウントしていたという怪談
                                  • コンピュータの本質は0と1ではない

                                    これは物理学アドベントカレンダー2021の12/18の記事ですが、書き進めるうちに物理とほぼ無関係になりました。 そのうえ途中で宣伝が入ります。 さてコンピュータと言えば 「あなたはまるでコンピュータだわ。0と1で考える機械人間なのよ」 という罵声に象徴されるように、本質は0と1、という思想が大流行しています。 これにイチャモンを付ける記事なわけですが、まず前提を知るために、定番の「コンピュータのしくみ」のお話をします。 コンピュータの、よくある説明 雑に描くと、電子回路としてのコンピュータは以下のような感じです1。 CPUに様々なデバイスが繋がっています。 青(0)と赤(1)がチカチカしていますが、これは電気信号を表しています。 人がキーボードを叩くと、0や1の信号がCPUに届き、情報が処理され、そして0と1の信号がディスプレイに送られるわけです。 処理の中心はCPUですが、これは 0や

                                    • [インタビュー]西 和彦氏に聞く「次世代MSX」とは何なのか――目指すのは,ユーザが自分で作り出す“遊び”の世界

                                      [インタビュー]西 和彦氏に聞く「次世代MSX」とは何なのか――目指すのは,ユーザが自分で作り出す“遊び”の世界 編集部:早苗月 ハンバーグ食べ男 カメラマン:永山 亘 Microsoftとアスキー(当時)によって制定された「MSX」規格最初のマシン「ML-8000」が三菱電機から発売されたのは,今から40年前である1983年のこと。 日本をはじめ,世界各国(とくに北米や欧州の8bit PCの進出が鈍かったソビエト連邦や中東など)で一世を風靡したMSXシリーズだが,家庭用コンピュータやゲーム機が高性能化していく1990年代に姿を消していった。2006年にFPGAでMSX2を再現した「1chip MSX」(関連記事),2020年にスペインのデベロッパによるRaspberry PI 3B+ベースの「MSXVR」が発売されるなど,復古の動きもあったが,いずれも小規模なものに留まっている。 Mic

                                        [インタビュー]西 和彦氏に聞く「次世代MSX」とは何なのか――目指すのは,ユーザが自分で作り出す“遊び”の世界
                                      • 「RISC-V+LLVM本 付録2. 浮動小数点命令」を無償公開しました - FPGA開発日記

                                        「作って学ぶコンピュータアーキテクチャ」では、執筆時点ですでに500ページを超えてしまい、泣く泣く2章分を削除しています。 1つ目の付録である「付録1. 関数呼び出しのバリエーションと高度な機能」についてはすでに公開済みですが、もう一つの「付録2. 浮動小数点命令」についても公開しました。 こちらは、LLVMに浮動小数点命令を追加し、いくつかのアプリケーションを動かそうというものです。 github.com この章は、本当は基本的な演算や機能の実装後に挿入したかった章で、以下の範囲をカバーしています。 この章は割と頑張ったもので、浮動小数点の説明から、実装、さらにアプリケーションを2つ実装しています。 後半のレイトレーシングはかなり頑張った部分で、最後に画像が正しく出力されたときは若干感動しました。 こちらも、担当編集の方の校正が入っていないので怪しい文章やもしかしたら誤った情報が入ってい

                                          「RISC-V+LLVM本 付録2. 浮動小数点命令」を無償公開しました - FPGA開発日記
                                        • これはセガサターンミニ!? 達成電器が技術デモを展示【 #TGS2023 】 FPGA技術で高い再現性を実現

                                            これはセガサターンミニ!? 達成電器が技術デモを展示【 #TGS2023 】 FPGA技術で高い再現性を実現
                                          • Amazon EKS での Kubernetes アップグレードの計画 | Amazon Web Services

                                            Amazon Web Services ブログ Amazon EKS での Kubernetes アップグレードの計画 この記事は Planning Kubernetes Upgrades with Amazon EKS (記事公開日: 2021 年 5 月 3 日) を翻訳したものです。 2021 年 2 月、Amazon Elastic Kubernetes Service (Amazon EKS) は Kubernetes バージョン 1.19 のサポートを開始しました。これは、What’s New の投稿や Amazon EKS ドキュメントの更新など、通常のメカニズムを通じて発表されました。社内やお客様とのいくつかの会話の結果、AWS は Amazon EKS の Kubernetes バージョンリリースに合わせて、AWS Containers ブログ記事の投稿を行うことにしまし

                                              Amazon EKS での Kubernetes アップグレードの計画 | Amazon Web Services
                                            • AMDのXilinx買収が株主投票で承認

                                                AMDのXilinx買収が株主投票で承認
                                              • 言語モデルを高位合成でFPGAに実装してみた

                                                言語モデルを高位合成でFPGAに実装してみた Turing株式会社のリサーチチームでインターンしているM1の内山です。 Turing株式会社では大規模基盤モデルによる完全自動運転を目指しており、その実現に欠かせない技術として大規模言語モデルの研究開発を行っています。 Generative AI LLMの広範な知識と思考能力に加え、視覚情報やセンサーデータなどの多様な入力を受け入れることで、車の周囲の状況を正確に認識します。さらに、世界モデルを適用することで、高度な空間認知と身体性を獲得し、実世界に対応した生成AIを実現します。 https://tur.ing/ より引用 しかしながら、従来の大規模モデルはデータセンターという大量のGPU・潤沢な電源・安定した地盤を備えた豊かな環境で処理されるものであり、対して自動車というものは余りにも狭く、電源が乏しく、振動が大きいという劣悪極まりない環境

                                                  言語モデルを高位合成でFPGAに実装してみた
                                                • フルスタックエンジニア どこからどこまで - Qiita

                                                  フルスタックエンジニア(full stack engineer) フルスタックエンジニアといえば、ハードウェア、ソフトウェアのすべての作業をこなす資格を持ち、作業可能な人をいうと、誰にでもわかりやすい。 <この項は書きかけです。順次追記します。> 20221113追記 フルスタックソフトウェアエンジニア どこからどこまで https://t.co/BEzuwrZnE5 #Qiita @naokiakutagawaより 面白い!フルスタック再定義は居ると思ってる。フルって付けるのが良くないのかな・・ — いもいもくん (@ma_anago) November 12, 2022 ありがとうございます。フルってつけたらどこからどこまでを言わないと、フルかどうかがわからないですね。。 お互いのフルの範囲の違いを確認しないと意思は通じないかもしれない。 表題を「フルスタックソフトウェアエンジニア ど

                                                    フルスタックエンジニア どこからどこまで - Qiita
                                                  • ウェハースケールCPUの誕生――Cerebrasのクレイジーな挑戦 | gihyo.jp

                                                    2019年11月、スパコン(スーパーコンピュータ)のトップカンファレンスであるSC19で、小形のAI専用スパコンがデビューをはたしました。「⁠CS-1」と名付けられたそのマシンは、ウェハースケール、つまり30cmシリコンウェハーを一枚まるごと使った巨大なCPUをもち、その広大な領域に埋め込まれた40万ものコアに、ターゲットのニューラル・ネットワークをそっくり全部マッピングして並列動作させます。 このあまりにもシンプルで、かつ壮大なアイデアをストレートに実現したのはCerebras Systemsというスタートアップ・カンパニーです。 筆者はいくつものスタートアップ、とくにハードウェア・システムを開発する企業を見てきました。Cerebrasについても二年ほど前から何度か訪問して開発状況などを見てきましたが、これほど野心的なスタートアップは滅多にありません。 このCerebrasの技術について

                                                      ウェハースケールCPUの誕生――Cerebrasのクレイジーな挑戦 | gihyo.jp
                                                    • GreenPAKっていうFPGAみたいなデバイスを紹介してみたい by AoiSaya | elchika

                                                      はじめに チョットした回路を組むのにGreenPAKっていうFPGAみたいなデバイスが便利なので紹介します。 GreenPAKとは GreenPAKは、Renesas(旧Dialog Semiconductor)社が販売しているCPLDとFPGAの間を埋めるようなプログラマブルデバイスです。 汎用ロジックIC数個で組めるような回路の置き換えに適しており、簡単な回路がこれ一個で実現できます。 中でも、SLG46826は装置に組み込んだまま、I2Cを使って何度も設計を書き換えることが可能な、とても楽しいデバイスです。 いろんな回路を書き込んで試せるので、ホビー用途にはこれ一択だと思います。 SLG46826の特徴 デジタル回路と簡単なアナログ回路が使える 回路図入力方式で設計するので、ハードウェア記述言語の知識が不要 様々な回路が組めるので、汎用ロジックICを多種ストックしておかなくても済む

                                                        GreenPAKっていうFPGAみたいなデバイスを紹介してみたい by AoiSaya | elchika
                                                      • オール・トランジスタ4ビットCPUの製作とFPGA開発[Vol.1 ノイマン型CPUの設計]

                                                        オール・トランジスタ4ビットCPUの製作とFPGA開発 [Vol.1 ノイマン型CPUの設計] ALU,レジスタ,I/Oなどをトランジスタ・レベルで手作りし,さらにFPGAにも実装 著者・講師:別府 伸耕/Nobuyasu Beppu (リニア・テック) 企画編集・主催: ZEPエンジニアリング株式会社 関連製品:[VOD/KIT]実習キットでできる!ラズパイPicoでマイコン入門 関連製品:[VOD/KIT]実習キットでできる!ラズパイPico×Wi-FiモジュールでIoT超入門 関連製品:[VOD/KIT]一緒に動かそう!Lチカから始めるFPGA開発【基礎編】 関連製品:[VOD/KIT]STM32マイコン&Wi-Fiモジュールで学ぶ C/C++プログラミング入門 関連製品:[VOD/KIT]実習キットで一緒に作る!オープンソースCPU RISC-V入門 関連製品:[VOD/KIT]P

                                                        • レトロンバーガー Order 73:プレステ,サターン,CD-ROM2他諸々に対応という「Polymega」,実際どうなん。注文から3年を経て届いたよ編

                                                          レトロンバーガー Order 73:プレステ,サターン,CD-ROM2他諸々に対応という「Polymega」,実際どうなん。注文から3年を経て届いたよ編 編集部:早苗月 ハンバーグ食べ男 概して小者は不幸に屈服して,その状況に慣れてしまうが, 偉人はそれを超越するものである。 (Little minds are tamed and subdued by misfortune; but great minds rise above it) 「リップ・ヴァン・ウィンクル」や「スリーピー・ホローの伝説」で知られる19世紀前半の作家・Washington Irvingは,「ポカノケットのフィリップ あるインディアンの回顧録より」で,このように記しました。このセンテンスは,19世紀後半の作家・Elbert Green Hubbardによる引用集で追記された「偉大な精神は目的を持つが,そうでない者は願

                                                            レトロンバーガー Order 73:プレステ,サターン,CD-ROM2他諸々に対応という「Polymega」,実際どうなん。注文から3年を経て届いたよ編
                                                          • CQ出版のインターフェース6月号に「Rustで作る命令セット・シミュレータ」を寄稿しました - FPGA開発日記

                                                            インターフェースを毎号買って読んでいる方なら「おいおいこの話は先月号に載せるべき話じゃないのかい?」と言うことに気が付くと思います。私もそう思います。が、どうやら編集担当さんによりページ数のミスが発生した模様(本当かどうかは知りませんが)で、なぜか私の記事が吹っ飛ばされて次号に掲載となりましたとさ。なんじゃそりゃ。 CQ出版さんの雑誌インターフェースの6月号「Rustで作る命令セット・シミュレータ」として原稿を寄稿させて頂きました。ちなみに6月号はどんな特集の内容なのか私も把握していないので、どういう話の流れでRustの特集が挿入されるのか全く知りません。たぶん単発記事として前後に関係なく載るのでしょう。 正直私はRustについてまだまだ初心者で、とても原稿を書けるような技術力の持ち主ではないのですが、C++をメインに使っている人間がRustに移行するために色々と試行錯誤した話だととらえて

                                                              CQ出版のインターフェース6月号に「Rustで作る命令セット・シミュレータ」を寄稿しました - FPGA開発日記
                                                            • LLVMの新しい中間言語表現 MLIRを試す(3. MLIRに関する発表資料を読む) - FPGA開発日記

                                                              MLIRについてもう少し具体的な例を勉強するために、資料を読み込んでいくことにした。 以下の資料を参考にした。Chris Lattnerの所属がSiFiveに変わっているので、比較的最近の資料だ。 docs.google.com MLIRはMulti-Level Intermediate Representation Compiler Ifrastructureの略称である。 2004にLLVMを開発したときの想定構成。1つのIRを用意してすべてを解決する。マルチターゲット、解析、最適化など。 ところがこれだけでは上手く行かなくなった。LLVM-IRだけでなく複数の表現形式を使用する必要がある。現在のLLVM IRでは並列性やループなどの表現形式に問題がある。 Clangも同様。LLVM IRを出力するだけでなく、様々なアノテーションを出力する必要が生じたり、もはやC++の複雑な言語構文を

                                                                LLVMの新しい中間言語表現 MLIRを試す(3. MLIRに関する発表資料を読む) - FPGA開発日記
                                                              • pdf2audiobook - ぱたへね

                                                                Twitter で気がついた佐藤さんのpdf読み上げシステムの動画を見ました。 Google I/Oが5/18 - 20にオンライン開催。私はpdf2audiobookデモ紹介とMLOps AMAで参加します! #gcpja https://t.co/tLpBgTB4Xo— Kazunori Sato (@kazunori_279) 2021年4月7日 www.youtube.com PDFをテキストにして読み上げサービスを使用して音声ファイルに変換しています。 このシステムで使用しているGoogleのテクノロジーです。ひとつひとつは聞いたことあります。 Cloud functions Cloud Storage OCR with Vision API AutoML Table Text to Speech ここで上手いなと思ったところがAutoML Tableによるtext(paragr

                                                                  pdf2audiobook - ぱたへね
                                                                • 阪大、FPGAの実装密度を12倍向上させる「ビアスイッチ」を開発

                                                                    阪大、FPGAの実装密度を12倍向上させる「ビアスイッチ」を開発
                                                                  • FPGAに機械学習モデルを実装する – その1:ランダムフォレストによるクラス分類 - GMOインターネットグループ グループ研究開発本部

                                                                    こんにちは,次世代システム研究室のS.T.です。普段はHadoopネタを書いていますが,今回はテーマをがらっと変えて,FPGAネタです。 「FPGAに機械学習の推論部分を実装し高速に処理を行う」という技術は耳にしたことがありましたが,漠然としたイメージがあるだけで実際にどのように実装していくのかということは知りませんでした。調べてみると,高位合成を用いた手法(1)や,学術研究として開発されたアクセラレータとしてのアーキテクチャ(2)は存在するようですが,シンプルなサンプルコードの形で存在するものはないようです。 もちろん「ソフトウェアエンジニアやデータサイエンティストが作成したモデルを高位合成でFPGAに落とし込みアクセラレータとして使用する」というユースケースを考えれば納得がいきますし,応用できる範囲もHDLで直接実装するより広くなると思います。 しかし,「低コスト小規模なローエンドFP

                                                                      FPGAに機械学習モデルを実装する – その1:ランダムフォレストによるクラス分類 - GMOインターネットグループ グループ研究開発本部
                                                                    • 電気回路内の電磁ノイズの起源を大阪大学が解明、電磁ノイズレス回路設計が可能に

                                                                      電気回路内の電磁ノイズの起源を大阪大学が解明、電磁ノイズレス回路設計が可能に 大学ジャーナルオンライン編集部 大阪大学の神野崇馬博士後期課程3年生らの研究グループは、電子・電気機器の誤動作や発熱の原因となる電磁ノイズ現象を定量化するための理論を考案し、その発生メカニズムを解明して、電磁ノイズが発生しない回路構造を理論的に導出することに成功した。 今回の研究では、電磁ノイズ現象の記述のために、電気回路を信号の往復路である2本の導線で表し、環境を1本の導線で表した3本線回路を使用。その結果、信号を表すノーマルモードと、電磁干渉を表すコモンモードの定式化が可能になった。さらに、3本線回路の入力や出力での接続関係を考慮し、各モードの振る舞いを表す方程式を導出。その結果、回路と環境の幾何学的な位置関係と、接続される素子との電気的な接続関係により、コモンモードがノーマルモードに変換され、電磁ノイズが発

                                                                        電気回路内の電磁ノイズの起源を大阪大学が解明、電磁ノイズレス回路設計が可能に
                                                                      • さくらインターネット

                                                                        FPGA ベース・ハードウェアNTPサーバ(Stratum1)特設実験サイト 概要 さくらインターネットで開発した FPGA ベース・ハードウェア NTP サーバ(Stratum1)の情報公開を行っています。 さくらインターネットでは、2020 年 3 月 17 日から2021 年 3 月 31 日までの間、単体で約10ギガビット/秒(約 1300万 リクエスト/秒)の高負荷に耐え、Stratum 1 NTP サーバーとして働く専用デジタル回路を内製設計し、FPGA 上で動作させて提供する実験を実施しました。( プレスリリースページ ) 当ページでは当時の実験の雰囲気をお伝えするとともに、開発したFPGA-NTP サーバの技術情報を公開しております。 技術解説 当試験を通して示したいコンセプト 昨今のデータセンターサービス事業においては、既製品のハードウェア(サーバー及びネットワークスイッ

                                                                        • 「究極のゲームボーイカラー」をOculus VRの創始者がリリース、「日中の屋外でも視認性良好なディスプレイ」「アルミ合金製の外装」など機能モリモリで当時のカセットもプレイ可能

                                                                          VRヘッドセット「Oculus Rift」の設計者であるパルマー・ラッキー氏が、ゲームボーイカラーのサイズやディスプレイ解像度を維持しつつ「昼間の屋外でも視認できるディスプレイ」「アルミ合金の外装」を備えた究極のゲームボーイ「ModRetro Chromatic」を開発したことを発表しました。 ModRetro | The Future is Retro https://modretro.com/ The Future is Retro - ModRetro https://modretro.com/blogs/blog/the-future-is-retro The $199 Chromatic might be the ultimate Nintendo Game Boy - The Verge https://www.theverge.com/2024/6/3/24170572/mo

                                                                            「究極のゲームボーイカラー」をOculus VRの創始者がリリース、「日中の屋外でも視認性良好なディスプレイ」「アルミ合金製の外装」など機能モリモリで当時のカセットもプレイ可能
                                                                          • 退職しました - Zopfcode

                                                                            2年と2ヶ月勤めたGROOVE X株式会社を退職しました(正確には4月13日まで社員で、4月1日からは有給消化)。 理由 会社の業務とは別にやりたいことが次第に大きくなってきて、それが現在の会社の方向性とは違ってきたというのが理由です。もちろん社内での会話とか考察をしばらくやって、数ヶ月考えた上で決断しました。 やりたいことというのはやはり低レイヤーです。入社するときに思っていた「消費者に直接届くハードを作りたい」は目的ではなく手段というのを自覚し、前職のときから好きだった低レイヤーを改めて目的として捉えなおしたというか、そんな感じです。 LOVOTも2018年に比べればありえないくらい安定してますし、そもそもローンチしたし、ということで「後ろ髪を引かれつつ」とかはなく次のステップに突き進めそうな感じです。おおむね前職を出た時と同じような前向きな気持ちで出ていくのでまあ良いんじゃないでしょ

                                                                              退職しました - Zopfcode
                                                                            • FPGAを使ってみたい技術者や学生、一般企業に向けた無償のオンラインFPGA利用環境『ACRiルーム』を開設

                                                                              FPGAを使ってみたい技術者や学生、一般企業に向けた無償のオンラインFPGA利用環境『ACRiルーム』を開設 FPGAの活用方法を模索、研究する団体であるアダプティブコンピューティング研究推進体-ACRi ( 所在地:東京都目黒区大岡山2丁目12-1, 以下ACRi (アクリ) *1 )は、2020年8月1日よりFPGA*2利用環境の無償提供の場として『ACRiルーム』を開設いたします。これはオンラインで無償利用できるFPGAの利用環境で、東京工業大学構内に設置された100枚を超えるFPGAボードと開発用ソフトウェアにリモートからアクセスして利用できるものです。 利用者は日本国内でFPGAに興味のあるすべての人が対象で、ACRiルームのウェブサイト*3から事前に利用予約をすることで『ACRiルーム』を使用できます。初年度(2021年7月末まで)では1,000人の利用を想定しています。 これ

                                                                                FPGAを使ってみたい技術者や学生、一般企業に向けた無償のオンラインFPGA利用環境『ACRiルーム』を開設
                                                                              • 深層学習の極小量子化という新たなる希望 | 射撃しつつ前転

                                                                                (スターウォーズのテーマをかけ、ゆっくりとスクロールしながらお読みください) この記事は LeapMind Advent Calendar 2019 25日目の記事です。 もはや年1回の更新すら怪しくなってきたこのブログですが、今年もなんとか更新できました。来年はどうなるかな。 概要LeapMindは極小量子化という技術にかなり注力していますが、どういうことをやっているのか、なぜそのようなことをやっているのか、CTO個人としての視点から解説します。 はじめにLeapMindという会社の究極的な目標を端的に表現すると、機械を今よりもインテリジェントにすること、特に、データセンターにあるような計算機ではなく、身近なエッジデバイスをインテリジェントにすることです。正確なニュアンスについて知りたい方は、現在絶賛社員募集中なので、ぜひ会社見学にいらっしゃってください。 さて、このような崇高なミッショ

                                                                                • 「打ち上げ失敗の原因は半導体の不具合などが多い印象」、JAXA名誉教授の的川氏

                                                                                  「初号機打ち上げの時はできる限りチェック・アンド・レビューを実施する。チェックしきれない部分も残るが、チェックしていないのが理由で失敗するケースはあまりない。(打ち上げに失敗するのは)半導体がうまく働かなかったとか、機械に不良品があったといった理由が多い気がする」 日本記者クラブが2023年3月8日に開催した国産ロケットに関する勉強会で、講師を務めた宇宙航空研究開発機構(JAXA)名誉教授の的川泰宣氏は、ロケットの打ち上げ失敗に関する個人的な印象としてこう語った。 「私が記者なら質問したかった」 勉強会では、日本のロケット開発を切り開いた故糸川英夫氏と発射場を選ぶ時のエピソードや、JAXAの名称が決められた過程などを交えて、日本の国産ロケットの歴史を概説。その後、報道陣の質問に答えた。報道陣からは、勉強会前日の2023年3月7日に失敗に終わったH3ロケットの打ち上げに関する質問が集中した。

                                                                                    「打ち上げ失敗の原因は半導体の不具合などが多い印象」、JAXA名誉教授の的川氏