サクサク読めて、アプリ限定の機能も多数!
トップへ戻る
ドラクエ3
www.cqpub.co.jp
> > > >
61 15 > > > > > 62 library IEEE; use IEEE.std_logic_1164.all ; use IEEE.std_logic_unsigned.all ; entity FIFO_SYNC is port ( RST : in std_logic; CLK : in std_logic; DIN : in std_logic_vector(7 downto 0); DOUT : out std_logic_vector(7 downto 0); WEN : in std_logic; REN : in std_logic; OE : in std_logic; EF : out std_logic; FF : out std_logic ); end FIFO_SYNC; architecture RTL of FIFO_SYNC is subtype
70 Jan. 2009 float x[100], y[100]; float a[3], b[3], z[3]; void Dentatu float* x, float* a, float* b, float* z, float* y { for n = 0 n < 100 n+ + { y n = a 0 *z 0 +a 1 *z 1 +a 2 *z 2 / 1+b 1 *z 1 +b 2 *z 2 *x n } } 71 Jan. 2009
s ¡ ¡ ¡ s ¡ ¡ s s s s s ¡ ¡ ¡ ¡ ¡ A B
市販されているパソコン用のワンセグ・チューナを,本来のワンセグ・チューナとしてではなく,ソフトウェア・ラジオのユニットとして使う方法を解説しました.また,より良く受信するための付加回路の作り方も解説しています. 特定のICを使ったパソコン用ワンセグ・チューナは,オープンソースとして公開されているドライバとソフトウェアを入れることにより,そのままでVHF~UHFのオール・モード・ラジオとして機能させることができます. 本書では,混変調を防ぐためのフィルタや,同調させた周波数の電波を増幅するプリセレクタ,もっと広い範囲を受信させるために受信する周波数を変換するクリスタル・コンバータ,一本で広い周波数範囲のアンテナとして使えるディスコーン・アンテナの製作方法を解説しました. 付属CD-ROMには,本書で解説した,ドライバとSDRのソフトウェアとしてHDSDRを収録しています. 目次 第1章 ワン
143 s e Chapter 7 e e 144 Chapter 7 s e e s 145 146 Chapter 7 147 148 Chapter 7 function Priority3( bits : std_logic_vector(2 downto 0)) return std_logic_vector is variable Code : std_logic_vector(1 downto 0); begin Code(1) := bits(2) or bits(1); Code(0) := bits(2) or ( not bits(1) and bits(0)); return Code; end Priority3; function Priority7( bits : std_logic_vector(6 downto 0)) return std_logic_v
昨今の組み込みシステムは大規模化が進み,大規模ASICの中にCPUと制御ハードウェアが集積されたSoC(System on a Chip)となっている.一般読者がASIC設計を体験することは難しいが,現在はFPGAの大容量/低価格化が進み,FPGAの中にCPUコアと周辺機能を実装することも容易になった.本書ではFPGAによるSoC設計をより具体的に,実用的なシステムを構築する事例で解説する. 本書で実現するシステムの内容としては,CPUコアとしてはMMUを装備したソフトCPUコアLEON(SPARC互換アーキテクチャ)を採用し,Linuxを移植する.システム・バスとしては業界標準ともいえるAMBAバスを採用.Ethernetコントローラにはオープン・ソースで公開されているコントローラを,そしてJPEGデコーダや画面表示コントローラは筆者オリジナル設計のコントローラを実装し,モーションJPE
239 240 241 x t x t x t ψl t ( ) ψl t ( ) ψl t ( ) ψl t ( ) ψl t ( ) ψl t ( ) ψl t ( ) d x t t dt l l = ( ) ( ) −∞ ∞ ∫ ψ ψl t ( ) 242 a b x t a b a a b ψ( ) ( ) t t t = − = Ψ Ψ Ψ t ( ) Ψ ( ) ⋅ Ψ ( ) ⋅ X f b a x t t b a dt a , ( ) = ( ) − −∞ ∞ ∫ Ψ Ψ t ( ) 243 l l l l l l l l l Ψ t b a − a b a b a L l b k L l L x t T x n x n T L l l l L L L l X b x t x t L n L L n L n L n l l
15 16 17 18 19 20 21 x x x 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39
パソコンにマルチメディア機能が装備されて,サウンド処理が身近なものになりました.しかし,サウンド処理の内容について勉強しようとすると専門書は高度な数学的説明に終始したものがおおく,サウンドデータを具体的に取り扱うための実際のプログラミングまで解説したものは見当たりませんでした. そこで本書は,数学的説明にとどまらず,プログラミングを通して,サウンド処理の実際について体験的に理解することを目標にしました.MATLABを利用してプログラミングを解説していますが,Appendixで,フリーソフトのOctaveとScilabの場合についても紹介しています. 目次 まえがき 第1章 サウンド処理の基礎 1.1 音の知覚 1.2 音の観察 1.3 音の記録 1.4 WAVEファイル 1.5 ディジタル信号処理 1.6 MATLAB 1.7 まとめ 第2章 サウンドデータの周波数分析 2.1 離散フーリ
語りたい3D系ユーザーの ためのOpenGL考察 2-マッピング- 片岡 宏仁 これまで,シェーディングや光源,アンチエイリアシングについて簡単に説明しました.今回はシェーディングパラメータやマッピングについて解説していきたいと思います.最後に,Canopus社のSpectra5400シリーズを借用できたので,OpenGLサポートの現状についてレポートしてみたいと思います. 前回は,原稿執筆時に,Pentium IIIのSIMD命令によるソフトウェアOpenGLアクセラレータが登場してしまい,原稿の内容に影響が出てしまいました.Spectra5400シリーズはTNT2を搭載しています.Canopus社からも独自に拡張したSIMD拡張命令が使用できるNT用ドライバが提供されています.TNT2自体は,Direct 3D向けの3Dアクセラレータと言われています.OpenGLの動作環境であるNT自
( ) http://www.microchip.com/ 1-1 “ ” 1-1 11 1 ( ) ( ) Ro Ri Vop Ro V V Vop ( ) ( ) ( ) ( ) ( ) ( ) Ri Ro Ri 12 1 V Ro Ri Vop ( ) ( ) “ ” ( ) Isink Isink ( ) “ ” ( ) ( ) Isource Isource ( ) “ ” ( ) Isink “ ” ( ) Isource ( ) 1-1 13 “ ” “ ” ( ) ( ) “ ” “ ” “ ” “ ” ( ) ( ) (VTH) Ta Vcc VIH ( ) VIL ( ) “ ” “ ” Ta Vcc µ VOH VOL “ ” “ ” (VOH VIH) (VIL VOL) ( ) 14 1 VDD “ ” VIH “ ” VIL IOL VDD “ ” VOL IO
1.1 013 1.1 ● 通信ではディジタルの情報はアナログ量に変換される 世の中の情報がディジタル化されると,それをそのまま遠隔地や多くの人に伝え ることが容易にできるようになります.その場合,電話回線や無線,光ファイバな どさまざまな通信回線が使われますが,これは基本的にアナログの世界です.電流 や電波,光などの信号を伝える媒体はディジタルではありません.連続した物理量 が変化する中で情報を送るには,1と0からなるディジタルの情報をアナログ量に 変換する必要があります.このように,不連続な数値を連続な数値に変換する装置 をモデムと呼んでいます. たとえば,ここでずっと ‘1’ が続くようなデータをアナログ通信で送ることを考 1 1 FSK ❖ ある場所から別の場所へ送りたいディ ジタル・データをそのままの形で送らない で, 元のディ ジタル・データに何らかの加工を施すこ と をデータ
オシロスコープとは、時間の経過と共に電気信号(電圧)が変化していく様子をリアルタイムでブラウン管に描かせ、目では見えない電気信号の変化していく様子を観測できるようにした波形測定器です。 このブラウン管上の輝点の動きの速さや振れの大きさを測ることで、間接的に電気信号の電圧の時間的変化を簡単に測ることができます。 ですから、測定しようとする現象が電圧の形に変換できれば、電気信号の変化だけでなく、温度、湿度、速度、圧力など、色々な現象の変化量を測ることができます。 また、メータ類と大きく異なるところは、単にその電圧の平均的な値を測るものではなく、電圧が変化していく様子を時々刻々と目で追いかけたり、突発的に発生する現象も捉えることができます。 しかも、非常に高い周波数の電気信号の変化もブラウン管に描くことが可能で、エレクトロニクス分野のエンジニアには必携の波形測定器として重宝がられています。 本書
組み込み機器の高機能化に伴い,組み込み機器にUSBホスト機能を実装する要求が高まっている.組み込み機器にUSBホストのポートが一つあれば,あとはソフトウェアの実装だけで,USBキーボードやUSBマウスなどのHIDデバイスからの入力や,USBフラッシュ・メモリやUSB-HDDなどのストレージをつないでファイルを読み書きするなど,さまざまな拡張性が考えられる. 本書では,組み込みマイコンにUSBホスト・コントローラを外付けしたり,USBホスト機能内蔵のCPUを使って,組み込みシステムにUSBホスト機能を実装するさまざまな事例について解説する. また,USBハブやHIDデバイス,ストレージやプリンタなどの標準USBクラスに対応した機器の制御事例についても解説する. 目次 USBコントローラの種類とUSBの動作 プロローグ USB機器のハードとソフトの構成 1 USBの特徴 2 USBの活用範囲の
昨今では組み込みシステムにOSとしてLinuxなどの大規模OSが採用されることも多くなりました.これらのOSは電源投入と同時にいきなり起動するものではありません.大規模OSが起動するまえに,システムを初期化するプログラムが『ブート・ローダ』と呼ばれるプログラムです.Linuxなどの大規模OSは一般的に大容量メモリを必要とするので,CPUに外付けしたフラッシュROMやDDR-SDRAMなどのDRAMを搭載するシステムが一般的です.これらの外部メモリは,CPUの起動(リセット)直後の状態ではすぐにはアクセスできない場合があります.とくにSDRAM系の外部メモリは,必ず初期化処理が必要です. またCPUの外付け発振子の周波数を低く抑え,CPU内部でてい倍処理して高速なクロック周波数を得るシステムもよく見受けられます.これらも,CPU起動直後は低速なクロックで動作しているので,システム・パフォーマ
ハード・ディスク装置は,コンピュータの周辺機器として欠かせないものとなっています.さらに,超小型ハード・ディスクを使用した携帯音楽プレーヤにはじまり,ハード・ディスクを搭載したカー・ナビゲーション・システム,ビデオ録画装置やハイビジョン・テレビなどが発売され,記録容量の向上とアプリケーション・コンテンツのディジタル化に合わせて,その用途が拡大しています. 本書は,磁気記録技術だけでなくハード・ディスク装置を支える周辺技術を含めて,全体を見通して理解できるようにメカニズム,サーボ制御技術,ホスト・インターフェース,信号処理技術などをわかりやすく解説した入門書です.ハード・ディスク装置の応用機器の設計/開発に携わる方や,ユーザとしてハード・ディスク装置の動作原理をもっと詳しく知りたいという方を対象にして,全体像を体系的に理解していただけるように書かれています.注:本書は2002年5月1日発行の
サポートページ 本書に掲載しきれなかった解説や,サンプル・プログラムをダウンロードできます. 第2章 MIPS32/MIPS64アーキテクチャの詳細 ●例外処理フロー MIPSプロセッサにおける一般的な例外処理のフローの図および,デバッグ例外のフローの図をPDF化したので参考にしてください. 図A MIPS32の一般的な例外処理のフロー 図B MIPS64の一般的な例外処理のフロー 図C MIPS32/64のデバッグ例外のフロー Appendix1 MIPSアーキテクチャ・エミュレータsimips エミュレータsimipsのアーカイブ・ファイルと,MIPSアセンブラについての解説書をアップしました. ・エミュレータsimipsアーカイブ・ファイル(simips.lzh) ・MIPSアセンブラについての解説 第6章 ブートローダYAMONの実装とLinux2.6のビルド事例 本書p.184掲
サラリーマン筆者のための確定申告の手引き 確定申告の手引き < main 本業の傍ら,原稿を執筆くださっているみなさま,いつも本当にありがとうございます. 原稿料収入は,所得税を計算する上で「雑所得」とみなされます.サラリーマンの方は,通常,勤め先から「給与所得」を受け,所得税は毎月の源泉徴収と12月の“年末調整”で徴収されているわけですが,このままでは雑所得の所得税については正確に算出されていないことになります.そこで,翌年2月~3月ごろに“確定申告”を行い,正確な金額の所得税を納めることとなっています. ざっくり言って,「その年内に受け取った原稿料の合計額が20万円以上」になった人は,確定申告を行う必要があります. ※http://www.nta.go.jp/taxanswer/shotoku/1900.htmを参照. ただし,雑所得として申告するものは, ( [公的年金等以外の,雑所
特集◆現場で役立つディジタル信号処理を学ぶ 特集◆インターフェース規格のすぐに役立つ基礎知識 特集◆はじめてのマイコン活用 基礎の基礎 特集◆組み込みCプログラミングを基本から攻略する! 特集◆付属ARM基板で学ぶ実践マイコン活用入門 特集◆CPUの仕組みから学ぶブートローダ/BIOS設計 特集◆トラブらない,バグらない組み込み製品開発技法 特集◆デバイス・プログラミング,ハードウェアはこう叩く! 特集◆システム・アーキテクチャの実践的設計技法 特集◆付属FR基板で学ぶUSBシステム開発の基礎 特集◆遊びながら学ぶUSBマイコン応用開発 特集◆書ける,動く! 楽しいCプログラミング 特集◆実践デバイス・ドライバ&ミドルウェア作成技法 特集◆付属ColdFire基板ではじめるEthernet入門 特集◆ColdFire基板で学ぶネットワーク機器開発技法 特集◆ARMプロセッサ・ボードの設計と
H H V V V
9-1 LCD 177 9 9-2 LCD 178 00 40 01 41 02 42 03 43 04 44 26 66 27 67 179 1 0 1 0 s bsf PORTE,0 bcf PORTE,0 s bcf PORTE,1 bsf PORTE,1 s 180 9-3 LCD bcf movwf PORTD 181 bsf bcf NOP movwf PORTD bsf PORTE,2 bcf PORTE,2 182 bcf movwf
3 CPU 5 CU(CU3..CU0) 3 2 1 0 CU3 MIPS IV MIPS32/MIPS64 MIPS IV RP ( ) FR MIPS32/MIPS64 RE User Mode MX MDMX PX 32 User Mode 64 BEV Boot Strap Mode / TS TLB Shutdown TLB SR Soft Rest NMI NMI Impl IM7..IM2 IM1..IM0 KX Kernel Mode 64 SX Supervisor Mode 64 UX User Mode 64 KSU Kernel Mode Supervisor Mode User Mode ERL Error Level 1 Kernel Mode 1 TLB EXL Exception Level 1 Kernel Mode IE BE BigEndian CS
画像処理や音声処理,暗号処理などには欠かせない数値演算回路設計についての解説書です.本書では数値演算回路として,加減算回路,乗算回路,除算回路,浮動小数点演算回路,初等超越関数を取り上げます.また,応用回路としてディジタル・ビデオ・エフェクトのアドレス生成回路の設計方法を紹介します.本書はあくまでも実用回路の製作に主眼を置いています.そのため,具体的な回路例(ソース・コード)を示しながら,数値演算を実際の回路に落とし込む過程を理解できるように説明しています.また,製品の差異化の重要な要素となる高速化や小型化を図るため,さまざまな視点でのアプローチを紹介します. 目次 まえがき 第1章 ディジタル回路と数値演算回路 1-1 ディジタル回路の基本はブール代数 1-2 数値演算の基本は2進数 COLUMN 1-1 問題の解答 第2章 加算器と減算器 2-1 1ビット加算の基本フル・アダーとハーフ
次のページ
このページを最初にブックマークしてみませんか?
『CQ出版社 - エレクトロニクス&アマチュア無線の専門出版社』の新着エントリーを見る
j次のブックマーク
k前のブックマーク
lあとで読む
eコメント一覧を開く
oページを開く